A flexible fixed-outline floorplanning methodology for mixed-size modules

This paper presents a new flow to handle fixed-outline floorplanning for mixed size modules. It consists of two stages, which include global distribution stage and legalization stage. The methodology is very flexible, which can be integrated into other methods or be extended to handle other constraints such as routability or thermal issue. The global distribution stage aims to obtain better wirelength while distributing modules over a fixed outline. Once a good result can be obtained in the first stage, the legalization stage only needs to obtain a feasible solution by maintaining the good result. The legalization is performed by curve merging in a slicing tree, which is obtained by the partition based approach. Two functions are proposed to divide a circuit and the associated placement region into two parts. Although the fixed-outline floorplanning with mixed size modules is very difficult, our method still can obtain better results. The experimental results show that our method can averagely reduce wirelength by 22.5% and 4.7% than PATOMA [1] and DeFer [2] in mixed size benchmarks.

[1]  Martin D. F. Wong,et al.  Slicing tree is a complete floorplan representation , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[2]  J. Cong,et al.  Fast floorplanning by look-ahead enabled recursive bipartitioning , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  H. Murata,et al.  Rectangle-packing-based module placement , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[4]  Ying Chen,et al.  Microarchitecture-aware floorplanning using a statistical design of experiments approach , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[5]  Yan Feng,et al.  A fixed-die floorplanning algorithm using an analytical approach , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[6]  Yao-Wen Chang,et al.  IMF: interconnect-driven multilevel floorplanning for large-scale building-module designs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[7]  Shashi Shekhar,et al.  Multilevel hypergraph partitioning: applications in VLSI domain , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[8]  Yao-Wen Chang,et al.  B*-trees: a new representation for non-slicing floorplans , 2000, Proceedings 37th Design Automation Conference.

[9]  Chris C. N. Chu,et al.  DeFer: Deferred Decision Making Enabled Fixed-Outline Floorplanning Algorithm , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Igor L. Markov,et al.  Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[11]  Yao-Wen Chang,et al.  TCG: a transitive closure graph-based representation for non-slicing floorplans , 2001, DAC '01.