Computer-Aided Design for Low-Power Robust Computing in Nanoscale CMOS

This work argues that the foremost challenges to the continued rapid improvements in CMOS integrated circuit (IC) performance are power consumption and design robustness. Furthermore, these two goals are often contradictory in nature, which indicates that joint optimization approaches must be adopted to properly handle both. To highlight needs in computer-aided design (CAD), we review a sampling of state-of-the-art work in power reduction techniques, and also in the newly emerging area of statistical optimization applied to very large scale integration (VLSI) ICs. The lack of CAD techniques to perform multiobjective function optimization (specifically parametric yield under correlated performance metrics) is a major limitation of current CAD research. In addition, with design trends pushing towards architectures based on aggressive adaptivity and voltage scaling, CAD researchers and engineers will need to refocus efforts on enabling this type of complex design

[1]  Noel Menezes,et al.  The scaling challenge: can correct-by-construction design help? , 2003, ISPD '03.

[2]  David Blaauw,et al.  Parametric yield estimation considering leakage variability , 2004, Proceedings. 41st Design Automation Conference, 2004..

[3]  Rajeev Murgai,et al.  An exact gate assignment algorithm for tree circuits under rise and fall delays , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[4]  Himanshu Kaul,et al.  Low-power on-chip communication based on transition-aware global signaling (TAGS) , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[6]  Mark C. Johnson,et al.  Models and algorithms for bounds on leakage in CMOS circuits , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[7]  Sani R. Nassif,et al.  Modeling and analysis of manufacturing variations , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[8]  David G. Chinnery,et al.  Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization , 2003, ISLPED '03.

[9]  Anantha Chandrakasan,et al.  Design methodology for fine-grained leakage control in MTCMOS , 2003, ISLPED '03.

[10]  Jin-Woo Han,et al.  Sub-5nm All-Around Gate FinFET for Ultimate Scaling , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..

[11]  David Blaauw,et al.  The limit of dynamic voltage scaling and insomniac dynamic voltage scaling , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  R.H. Dennard,et al.  Design Of Ion-implanted MOSFET's with Very Small Physical Dimensions , 1974, Proceedings of the IEEE.

[13]  David Blaauw,et al.  Modeling and analysis of leakage power considering within-die process variations , 2002, ISLPED '02.

[14]  Bo Zhai,et al.  A 2.60pJ/Inst Subthreshold Sensor Processor for Optimal Energy Efficiency , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[15]  Sachin S. Sapatnekar,et al.  Convex delay models for transistor sizing , 2000, DAC.

[16]  Dennis Sylvester,et al.  A general framework for probabilistic low-power design space exploration considering process variation , 2004, ICCAD 2004.

[17]  G. Pant,et al.  High performance gate first HfSiON dielectric satisfying 45nm node requirements , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[18]  Ankur Srivastava Simultaneous Vt selection and assignment for leakage optimization , 2003, ISLPED '03.

[19]  Sachin S. Sapatnekar,et al.  Statistical Timing Analysis Considering Spatial Correlations using a Single Pert-Like Traversal , 2003, ICCAD 2003.

[20]  Andrzej J. Strojwas,et al.  Design methodology for IC manufacturability based on regular logic-bricks , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[21]  Keshab K. Parhi,et al.  Synthesis of low power CMOS VLSI circuits using dual supply voltages , 1999, DAC '99.

[22]  Puneet Gupta,et al.  Self-compensating design for focus variation , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[23]  Sachin S. Sapatnekar,et al.  Full-chip analysis of leakage power under process variations, including spatial correlations , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[24]  David Blaauw,et al.  Discrete Vt assignment and gate sizing using a self-snapping continuous formulation , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[25]  José Pineda de Gyvez,et al.  Technology exploration for adaptive power and frequency scaling in 90nm CMOS , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[26]  Ian Miles Why the future is Fortean , 1999 .

[27]  Kevin J. Nowka,et al.  Adaptive MTCMOS for dynamic leakage and frequency control using variable footer strength , 2005, Proceedings 2005 IEEE International SOC Conference.

[28]  David Blaauw,et al.  Analysis and mitigation of variability in subthreshold design , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[29]  K. Keutzer,et al.  Linear programming for sizing, V/sub th/ and V/sub dd/ assignment , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[30]  Sachin S. Sapatnekar,et al.  Standby power optimization via transistor sizing and dual threshold voltage assignment , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[31]  Lei He,et al.  Floorplanning optimization with trajectory piecewise-linear model for pipelined interconnects , 2004, Proceedings. 41st Design Automation Conference, 2004..

[32]  Sachin S. Sapatnekar,et al.  A convex optimization approach to transistor sizing for CMOS circuits , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[33]  Mark Anders,et al.  Design and analysis of spatial encoding circuits for peak power reduction in on-chip buses , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[34]  Dennis Sylvester,et al.  Minimizing total power by simultaneous V/sub dd//V/sub th/ assignment , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[35]  David Blaauw,et al.  Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations , 2003, ICCAD 2003.

[36]  K. Keutzer,et al.  On average power dissipation and random pattern testability of CMOS combinational logic networks , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

[37]  Kurt Keutzer,et al.  On average power dissipation and random pattern testability of CMOS combinational logic networks , 1992, ICCAD.

[38]  R. Stephenson A and V , 1962, The British journal of ophthalmology.

[39]  Lars Liebmann,et al.  Layout impact of resolution enhancement techniques: impediment or opportunity? , 2003, ISPD '03.

[40]  Chandramouli V. Kashyap,et al.  Block-based Static Timing Analysis with Uncertainty , 2003, ICCAD.

[41]  A. Chatterjee,et al.  Dual-threshold voltage assignment with transistor sizing for low power CMOS circuits , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[42]  Stephen H. Gunther,et al.  Managing the Impact of Increasing Microprocessor Power Consumption , 2001 .

[43]  David Blaauw,et al.  Static leakage reduction through simultaneous V/sub t//T/sub ox/ and state assignment , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[44]  M. Khellah,et al.  Static pulsed bus for on-chip interconnects , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[45]  Hiran Tennakoon,et al.  Efficient and accurate gate sizing with piecewise convex delay models , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[46]  Marco C. Campi,et al.  Decision Making in an Uncertain Environment: the Scenario based Optimization Approach , 2004 .

[47]  R. Cavin,et al.  A 16 Gb/s adaptive bandwidth on-chip bus based on hybrid current/voltage mode signaling , 2006, IEEE Journal of Solid-State Circuits.

[48]  Ankur Srivastava,et al.  On gate level power optimization using dual-supply voltages , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[49]  Dennis Sylvester,et al.  High performance level conversion for dual V/sub DD/ design , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[50]  Anantha Chandrakasan,et al.  MTCMOS hierarchical sizing based on mutual exclusive discharge patterns , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[51]  Farid N. Najm,et al.  A gate-level leakage power reduction method for ultra-low-power CMOS circuits , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.

[52]  David Blaauw,et al.  Runtime leakage minimization through probability-aware dual-V/sub t/ or dual-T/sub ox/ assignment , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[53]  David Blaauw,et al.  Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[54]  Dennis Sylvester,et al.  Minimizing total power by simultaneous V/sub dd//V/sub th/ assignment , 2004, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[55]  Sachin S. Sapatnekar,et al.  Standby power optimization via transistor sizing and dual threshold voltage assignment , 2002, ICCAD 2002.

[56]  Borivoje Nikolic,et al.  Level conversion for dual-supply systems , 2004 .

[57]  S. Narendra,et al.  Forward body bias for microprocessors in 130nm technology generation and beyond , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[58]  M. Sarrafzadeh,et al.  Activity-driven clock design for low power circuits , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[59]  Farid N. Najm,et al.  Transition density: a new measure of activity in digital circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[60]  Hiran Tennakoon,et al.  Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[61]  ChenTom,et al.  Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation , 2003 .

[62]  Arkadi Nemirovski,et al.  Robust solutions of uncertain linear programs , 1999, Oper. Res. Lett..

[63]  H. Wong,et al.  Nanoscale CMOS - Proceedings of the IEEE , 1999 .

[64]  Dhanistha Panyasak,et al.  Circuits , 1995, Annals of the New York Academy of Sciences.

[65]  David Blaauw,et al.  Statistical optimization of leakage power considering process variations using dual-Vth and sizing , 2004, Proceedings. 41st Design Automation Conference, 2004..

[66]  H. Momose,et al.  A 60 mW MPEG4 video codec using clustered voltage scaling with variable supply-voltage scheme , 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156).

[67]  Pingshan Wang,et al.  Pulsed wave interconnect , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[68]  Cheng-Kok Koh,et al.  Power minimization by simultaneous dual-V/sub th/ assignment and gate-sizing , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[69]  T. Chen,et al.  Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[70]  Ching-Te Chuang,et al.  Design and CAD Challenges in sub-90nm CMOS Technologies , 2003, ICCAD 2003.

[71]  Min Chen,et al.  Analysis of pulse signaling for low-power on-chip global bus design , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[72]  Wen-Ben Jone,et al.  Gate-level design exploiting dual supply voltages for power-driven applications , 1999, DAC '99.

[73]  U. Ghoshal,et al.  Refrigeration technologies for sub-ambient temperature operation of computing systems , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[74]  David Blaauw,et al.  Runtime leakage minimization through probability-aware dual-Vt or dual-tox assignment , 2005, ASP-DAC '05.

[75]  A. Chandrakasan,et al.  A 180mV FFT processor using subthreshold circuit techniques , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[76]  Rajendran Panda,et al.  Stand-by power minimization through simultaneous threshold voltage selection and circuit sizing , 1999, DAC '99.

[77]  Michael Orshansky,et al.  A new statistical optimization algorithm for gate sizing , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[78]  Shin'ichiro Mutoh,et al.  1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS , 1995, IEEE J. Solid State Circuits.

[79]  David Blaauw,et al.  Power minimization using simultaneous gate sizing, dual-Vdd and dual-Vth assignment , 2004, Proceedings. 41st Design Automation Conference, 2004..

[80]  Philip N. Strenski,et al.  Uncertainty-aware circuit optimization , 2002, DAC '02.

[81]  Keshab K. Parhi,et al.  Low power synthesis of dual threshold voltage CMOS VLSI circuits , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[82]  B. Ricco,et al.  Estimate of signal probability in combinational logic networks , 1989, [1989] Proceedings of the 1st European Test Conference.

[83]  Michael Orshansky,et al.  An efficient algorithm for statistical minimization of total power under timing yield constraints , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[84]  Hiran Tennakoon,et al.  Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step , 2002, ICCAD 2002.

[85]  David Blaauw,et al.  Ultralow-voltage, minimum-energy CMOS , 2006, IBM J. Res. Dev..

[86]  Chandramouli V. Kashyap,et al.  Block-based static timing analysis with uncertainty , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[87]  Olivier Coudert,et al.  New algorithms for gate sizing: a comparative study , 1996, DAC '96.

[88]  Takashi Ishikawa,et al.  Automated low-power technique exploiting multiple supply voltages applied to a media processor , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.

[89]  Sarvesh Bhardwaj,et al.  Leakage minimization of nano-scale circuits in the presence of systematic and random variations , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[90]  Yi Zhao,et al.  Minimum description length criterion for modeling of chaotic attractors with multilayer perceptron networks , 2006, IEEE Transactions on Circuits and Systems I: Regular Papers.

[91]  Y. Akasaka,et al.  A thermally-stable sub-0.9nm EOT TaSix/HfSiON gate stack with high electron mobility, suitable for gate-first fabrciation of hp45 LOP devices , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[92]  David G. Chinnery,et al.  Linear programming for sizing, Vth and Vdd assignment , 2005, ISLPED '05.

[93]  John P. Fishburn,et al.  TILOS: A posynomial programming approach to transistor sizing , 2003, ICCAD 2003.

[94]  David Blaauw,et al.  Statistical estimation of leakage current considering inter- and intra-die process variation , 2003, ISLPED '03.

[95]  Mohamed I. Elmasry,et al.  Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique , 2002, DAC '02.

[96]  Pak K. Chan Algorithms for library-specific sizing of combinational logic , 1991, DAC '90.

[97]  Uri C. Weiser,et al.  Interconnect-power dissipation in a microprocessor , 2004, SLIP '04.

[98]  Jochen A. G. Jess,et al.  Gate sizing in MOS digital circuits with linear programming , 1990, Proceedings of the European Design Automation Conference, 1990., EDAC..

[99]  Yuhen Hu,et al.  Wave-pipelined on-chip global interconnect , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[100]  Norman P. Jouppi,et al.  Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[101]  K. Ravindran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[102]  Tadahiro Kuroda,et al.  Utilizing surplus timing for power reduction , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[103]  Weitong Chuang,et al.  Power vs. delay in gate sizing: conflicting objectives? , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[104]  H.J. Lin,et al.  High performance tantalum carbide metal gate stacks for nMOSFET application , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[105]  Kevin J. Nowka,et al.  Approaches to run-time and standby mode leakage reduction in global buses , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[106]  Ankur Srivastava,et al.  Leakage Control Through Fine-Grained Placement and Sizing of Sleep Transistors , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[107]  Dennis Sylvester,et al.  Fast and energy-efficient asynchronous level converters for multi-VDD design [CMOS ICs] , 2003, IEEE International [Systems-on-Chip] SOC Conference, 2003. Proceedings..

[108]  Kimiyoshi Usami,et al.  Automated low-power technique exploiting multiple supply voltages applied to a media processor , 1998 .

[109]  Himanshu Kaul,et al.  Power-aware global signaling strategies , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[110]  Ying Chen,et al.  Microarchitecture-aware floorplanning using a statistical design of experiments approach , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[111]  Dennis Sylvester,et al.  A new algorithm for improved VDD assignment in low power dual VDD systems , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[112]  Anantha Chandrakasan,et al.  Characterizing and modeling minimum energy operation for subthreshold circuits , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[113]  D. Sylvester,et al.  A Statistical Framework for Post-Silicon Tuning through Body Bias Clustering , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[114]  D. Sylvester,et al.  Minimizing total power by simultaneous Vdd/Vth assignment , 2003, Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..

[115]  Himanshu Kaul,et al.  A novel buffer circuit for energy efficient signaling in dual-VDD systems , 2005, ACM Great Lakes Symposium on VLSI.

[116]  Puneet Gupta,et al.  Selective gate-length biasing for cost-effective runtime leakage control , 2004, Proceedings. 41st Design Automation Conference, 2004..

[117]  David Blaauw,et al.  Statistical Analysis and Optimization for VLSI: Timing and Power , 2005, Series on Integrated Circuits and Systems.

[118]  M. Kandemir,et al.  Total power optimization through simultaneously multiple-vDD multiple-vTH assignment and device sizing with stack forcing , 2004, ISLPED '04.

[119]  Sarma B. K. Vrudhula,et al.  Algorithms for minimizing standby power in deep submicrometer, dual-Vt CMOS circuits , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[120]  Kurt Keutzer,et al.  Getting to the bottom of deep submicron , 1998, ICCAD '98.

[121]  William J. Bowhill,et al.  Design of High-Performance Microprocessor Circuits , 2001 .

[122]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[123]  Gustavo E. Td Activity-Driven Clock Design for Low Power Circuits * , 1995 .

[124]  Mark Horowitz,et al.  Clustered voltage scaling technique for low-power design , 1995, ISLPED '95.

[125]  Ankur Srivastava,et al.  Leakage control through fine-grained placement and sizing of sleep transistors , 2004, ICCAD 2004.

[126]  Andrew R. Conn,et al.  JiffyTune: circuit optimization using time-domain sensitivities , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[127]  Vivek De,et al.  Forward body bias for microprocessors in 130nm technology generation and beyond , 2002, VLSIC 2002.

[128]  David Blaauw,et al.  Drowsy caches: simple techniques for reducing leakage power , 2002, ISCA.

[129]  Norman P. Jouppi,et al.  Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction , 2003, MICRO.