Designing analog and RF circuits for ultra-low supply voltages

This paper investigates the challenges and opportunities of designing analog and RF integrated circuits to operate from ultra-low supply voltages. Solutions ranging from exploiting the 4 terminals of a MOS device or the threshold voltage dependence on length, to the use of circuit topologies that require only stacks of two devices are discussed. The realization of full analog and RF system functions operating from ultra-low voltages is demonstrated and the enabling architecture modifications are introduced. The techniques and results presented in this paper aim to enable ultra-low voltage analog and RF circuits both in the context of relatively large threshold voltages, e.g., |VT|=VDD, as well as lower threshold voltages.

[1]  F. Zhang,et al.  Low-power programmable gain CMOS distributed LNA , 2006, IEEE Journal of Solid-State Circuits.

[2]  P. Kinget,et al.  A 0.5V 8bit 10Msps Pipelined ADC in 90nm CMOS , 2007, 2007 IEEE Symposium on VLSI Circuits.

[3]  B. Murmann,et al.  A 12 b 75 MS/s pipelined ADC using open-loop residue amplification , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[4]  B. Nauta,et al.  A CMOS switched transconductor mixer , 2004, IEEE Journal of Solid-State Circuits.

[5]  P. Kinget,et al.  AM-FM conversion by the active devices in MOS LC-VCOs and its effect on the optimal amplitude , 2006, IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, 2006.

[6]  Andrea Baschirotto,et al.  Low-voltage balanced transconductor with high input common-mode rejection , 1994 .

[7]  Kong-Pang Pun,et al.  A 0.5-V 74-dB SNDR 25-kHz Continuous-Time Delta-Sigma Modulator With a Return-to-Open DAC , 2007, IEEE Journal of Solid-State Circuits.

[8]  K. Bult,et al.  Analog design in deep sub-micron CMOS , 2000, Proceedings of the 26th European Solid-State Circuits Conference.

[9]  C. Pacha,et al.  Efficiency of body biasing in 90-nm CMOS for low-power digital circuits , 2004, IEEE Journal of Solid-State Circuits.

[10]  Qiuting Huang Low voltage and low power aspects of data converter design , 2004, Proceedings of the 30th European Solid-State Circuits Conference (IEEE Cat. No.04EX850).

[11]  Eric A. Vittoz,et al.  Future of analog in the VLSI environment , 1990, IEEE International Symposium on Circuits and Systems.

[12]  T. Tille,et al.  A 0.7-V MOSFET-only switched-opamp /spl Sigma//spl Delta/ modulator in standard digital CMOS technology , 2002 .

[13]  Jan M. Rabaey,et al.  The Roadmap to Disappearing Electronics and Ambient Intelligence , 2006 .

[14]  A. Tammineedi,et al.  Low-voltage analog circuit design based on biased inverting opamp configuration , 2000 .

[15]  A. Yamagishi,et al.  2-GHz RF front-end circuits in CMOS/SIMOX operating at an extremely low voltage of 0.5 V , 2000, IEEE Journal of Solid-State Circuits.

[16]  P. Kinget,et al.  0.5-V analog circuit techniques and their application in OTA and filter design , 2005, IEEE Journal of Solid-State Circuits.

[17]  Doris Schmitt-Landsiedel,et al.  A 0.5V, 1µW successive approximation ADC , 2002 .

[18]  F. Zhang,et al.  Design of Components and Circuits Underneath Integrated Inductors , 2006, IEEE Journal of Solid-State Circuits.

[19]  B. Nauta,et al.  Analog circuits in ultra-deep-submicron CMOS , 2005, IEEE Journal of Solid-State Circuits.

[20]  A. Vandooren,et al.  CMOS Vertical Multiple Independent Gate Field Effect Transistor (MIGFET) , 2004, 2004 IEEE International SOI Conference (IEEE Cat. No.04CH37573).

[21]  M. Vertregt,et al.  A 2.5-V 12-b 54-Msample/s 0.25-μm CMOS ADC in 1-mm2 with mixed-signal chopping and calibration , 2001, IEEE J. Solid State Circuits.

[22]  Kong-Pang Pun,et al.  Analog Circuit Design Techniques at 0.5V , 2007 .

[23]  Michiel Steyaert,et al.  Switched-opamp: an approach to realize full CMOS switched-capacitor circuits at very low power supply voltages , 1994, IEEE J. Solid State Circuits.

[24]  S. Gambini,et al.  A 1.5MS/s 6-bit ADC with 0.5V supply , 2006, 2006 IEEE Asian Solid-State Circuits Conference.

[25]  Rinaldo Castello,et al.  Performance limitations in switched-capacitor filters , 1985 .

[26]  James H. Stathis,et al.  Reliability limits for the gate insulator in CMOS technology , 2002, IBM J. Res. Dev..

[27]  L. Mathew,et al.  Physical insights regarding design and performance of independent-gate FinFETs , 2005, IEEE Transactions on Electron Devices.

[28]  V. Peluso,et al.  Toward sub 1 V analog integrated circuits in submicron standard CMOS technologies , 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156).

[29]  Y. Tsividis Operation and modeling of the MOS transistor , 1987 .

[30]  P. Kinget,et al.  A 0.5 V Receiver in 90 nm CMOS for 2.4 GHz Applications , 2007, 2007 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium.

[31]  P.R. Kinget,et al.  A 0.5-V 1-Msps Track-and-Hold Circuit With 60-dB SNDR , 2007, IEEE Journal of Solid-State Circuits.

[32]  C. Svensson Optimum voltage swing on on-chip and off-chip interconnects , 2000, Proceedings of the 26th European Solid-State Circuits Conference.

[33]  David Blaauw,et al.  Ultralow-voltage, minimum-energy CMOS , 2006, IBM J. Res. Dev..

[34]  E.A. Vittoz,et al.  Automatic adjustment of threshold and supply voltages for minimum power consumption in CMOS digital circuits , 1994, Proceedings of 1994 IEEE Symposium on Low Power Electronics.

[35]  P. R. Gray,et al.  A 1.5-V, 10-bit, 14.3-MS/s CMOS pipeline analog-to-digital converter , 1999, IEEE J. Solid State Circuits.

[36]  R.W. Dutton,et al.  Impact of Scaling on Analog Performance and Associated Modeling Needs , 2006, IEEE Transactions on Electron Devices.

[37]  R. Gharpurey,et al.  An Approach to Interference Detection for Ultra Wideband Radio Systems , 2006, 2006 IEEE Dallas/CAS Workshop on Design, Applications, Integration and Software.

[38]  Peter R. Kinget,et al.  A 0.65V 2.5GHz Fractional-N Frequency Synthesizer in 90nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[39]  Jens Sauerbrey,et al.  A 0.5-V 1-μW successive approximation ADC , 2003, IEEE J. Solid State Circuits.

[40]  G. Reddy Gangasani,et al.  A Time-Domain Model for Predicting the Injection Locking Bandwidth of Nonharmonic Oscillators , 2006, IEEE Transactions on Circuits and Systems II: Express Briefs.

[41]  D. Burr,et al.  Recent publications , 1898, The Journal of Geology.

[42]  Pavan Kumar Hanumolu,et al.  A 0.6V Highly Linear Switched-R-MOSFET-C Filter , 2006, IEEE Custom Integrated Circuits Conference 2006.

[43]  P.R. Kinget Device mismatch and tradeoffs in the design of analog circuits , 2005, IEEE Journal of Solid-State Circuits.

[44]  P. Kinget,et al.  A 0.5 V 900 MHz CMOS Receiver Front End , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[45]  P.R. Kinget,et al.  Tail Current-Shaping to Improve Phase Noise in LC Voltage-Controlled Oscillators , 2006, IEEE Journal of Solid-State Circuits.

[46]  G. Reddy Gangasani,et al.  Injection-lock dynamics in non-harmonic oscillators , 2006, 2006 IEEE International Symposium on Circuits and Systems.

[47]  J. Tschanz,et al.  Ultra-low voltage circuits and processor in 180nm to 90nm technologies with a swapped-body biasing technique , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[48]  A. Mercha,et al.  Planar Bulk MOSFETs Versus FinFETs: An Analog/RF Perspective , 2006, IEEE Transactions on Electron Devices.

[49]  Yorgos Palaskas,et al.  Internally varying analog circuits minimize power dissipation , 2003 .

[50]  Peter R. Kinget,et al.  Quadrature-DAC based pulse generation for UWB pulse radio transceivers , 2006, 2006 IEEE International Symposium on Circuits and Systems.

[51]  Tsuneo Tsukahara,et al.  An 8-bit 50-MHz CMOS subranging A/D converter with pipelined wide-band S/H , 1989 .

[52]  K.S.J. Pister,et al.  Low-Power 2.4-GHz Transceiver With Passive RX Front-End and 400-mV Supply , 2006, IEEE Journal of Solid-State Circuits.

[53]  M. Vertregt The analog challenge of nanometer CMOS , 2006, 2006 International Electron Devices Meeting.

[54]  Michiel Steyaert,et al.  Impact of transistor mismatch on the speed-accuracy-power trade-off of analog CMOS circuits , 1996, Proceedings of Custom Integrated Circuits Conference.

[55]  Woogeun Rhee,et al.  An Ultra Compact Differentially Tuned 6 GHz CMOS LC VCO with Dynamic Common-Mode Feedback , 2006, IEEE Custom Integrated Circuits Conference 2006.

[56]  Alexander V. Rylyakov,et al.  A Wide Power-Supply Range (0.5V-to-1.3V) Wide Tuning Range (500 MHz-to-8 GHz) All-Static CMOS AD PLL in 65nm SOI , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[57]  Sani R. Nassif,et al.  High Performance CMOS Variability in the 65nm Regime and Beyond , 2006, 2007 IEEE International Electron Devices Meeting.

[58]  A. Wang,et al.  Modeling and sizing for minimum energy operation in subthreshold circuits , 2005, IEEE Journal of Solid-State Circuits.

[59]  J.-P. Raskin,et al.  Analog/RF performance of multiple gate SOI devices: wideband simulations and characterization , 2006, IEEE Transactions on Electron Devices.

[60]  T. Sakurai,et al.  Self-adjusting threshold-voltage scheme (SATS) for low-voltage high-speed operation , 1994, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '94.