Statistical Thermal Profile Considering Process Variations: Analysis and Applications
暂无分享,去创建一个
[1] Yong Zhan,et al. Fast computation of the temperature distribution in VLSI chips using the discrete cosine transform and table look-up , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[2] Narayanan Vijaykrishnan,et al. Thermal trends in emerging technologies , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[3] Sheldon M. Ross,et al. Introduction to probability models , 1975 .
[4] P. McLane,et al. Comparison of methods of computing lognormal sum distributions and outages for digital wireless applications , 1994, International Conference on Communications.
[5] Antoine Petitet,et al. Minimizing development and maintenance costs in supporting persistently optimized BLAS , 2005 .
[6] S. Nassif,et al. Full chip leakage-estimation considering power supply and temperature variations , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..
[7] Rajendran Panda,et al. Statistical delay computation considering spatial correlations , 2003, ASP-DAC '03.
[8] Sachin S. Sapatnekar,et al. Prediction of leakage power under process uncertainties , 2007, TODE.
[9] M. Sachdev,et al. Thermal runaway in integrated circuits , 2006, IEEE Transactions on Device and Materials Reliability.
[10] S. Schwartz,et al. On the distribution function and moments of power sums with log-normal components , 1982, The Bell System Technical Journal.
[11] Sung-Mo Kang,et al. ILLIADS-T: an electrothermal timing simulator for temperature-sensitive reliability diagnosis of CMOS VLSI chips , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[12] James Kao,et al. Subthreshold leakage modeling and reduction techniques , 2002, ICCAD 2002.
[13] Liang-Teck Pang,et al. Impact of Layout on 90nm CMOS Process Parameter Fluctuations , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..
[14] Sung-Mo Kang,et al. Cell-level placement for improving substrate thermal distribution , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[15] Shekhar Y. Borkar,et al. Design challenges of technology scaling , 1999, IEEE Micro.
[16] A. Kumar,et al. A 1.2 GHz Alpha microprocessor with 44.8 GB/s chip pin bandwidth , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).
[17] J. Aitchison,et al. The Lognormal Distribution. , 1958 .
[18] Kevin Skadron,et al. HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[19] Sachin S. Sapatnekar,et al. Statistical timing analysis under spatial correlations , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[20] Jeng-Liang Tsai,et al. Thermal and power integrity based power/ground networks optimization , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[21] James D. Meindl,et al. Impact of within-die parameter fluctuations on future maximum clock frequency distributions , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).
[22] Kaustav Banerjee,et al. Analysis and implications of ic cooling for deep nanometer scale cmos technologies , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[23] Sujit Dey,et al. Considering Process Variations During System-Level Power Analysis , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[24] Don Coppersmith,et al. Matrix multiplication via arithmetic progressions , 1987, STOC.
[25] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[26] Kaustav Banerjee,et al. Subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[27] Saibal Mukhopadhyay,et al. Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits , 2003, Proc. IEEE.
[28] I. Duff,et al. Direct Methods for Sparse Matrices , 1987 .
[29] Yousef Saad,et al. Iterative methods for sparse linear systems , 2003 .
[30] K. Roy,et al. Modeling and estimation of total leakage current in nano-scaled-CMOS devices considering the effect of parameter variation , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..
[31] Sung-Mo Kang,et al. Electrothermal Analysis of VLSI Systems , 2000 .
[32] Jaume Segura,et al. Within die thermal gradient impact on clock-skew: a new type of delay-fault mechanism , 2004 .
[33] J.D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).
[34] R. Stephenson. A and V , 1962, The British journal of ophthalmology.
[35] Volkan Kursun,et al. Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits , 2006, IEEE Transactions on Circuits and Systems II: Express Briefs.
[36] Kevin Skadron,et al. The need for a full-chip and package thermal model for thermally optimized IC designs , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[37] Costas J. Spanos,et al. Modeling within-field gate length spatial variation for process-design co-optimization , 2005, SPIE Advanced Lithography.
[38] D. Sylvester,et al. Statistical estimation of leakage current considering inter- and intra-die process variation , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..
[39] Sachin S. Sapatnekar,et al. Electrothermal analysis and optimization techniques for nanoscale integrated circuits , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[40] Shahin Nazarian,et al. Thermal Modeling, Analysis, and Management in VLSI Circuits: Principles and Methods , 2006, Proceedings of the IEEE.
[41] Kaustav Banerjee,et al. Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[42] Lawrence T. Pileggi,et al. IC thermal simulation and modeling via efficient multigrid-based approaches , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[43] Jinjun Xiong,et al. Robust Extraction of Spatial Correlation , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.