Architecture, challenges and applications of dynamic reconfigurable computing
暂无分享,去创建一个
Shouyi Yin | Yanan Lu | Shaojun Wei | Jianfeng Zhu | Leibo Liu | Leibo Liu | S. Yin | Shaojun Wei | Jianfeng Zhu | Yanan Lu
[1] Russell Tessier,et al. Reconfigurable Computing Architectures , 2015, Proceedings of the IEEE.
[2] Hideharu Amano,et al. Stream applications on the dynamically reconfigurable processor , 2004, Proceedings. 2004 IEEE International Conference on Field- Programmable Technology (IEEE Cat. No.04EX921).
[3] Yao Wang,et al. Aggressive pipelining of irregular applications on reconfigurable hardware , 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).
[4] Babak Falsafi,et al. The HiPEAC Vision , 2010 .
[5] Xiao Yang,et al. A Hybrid Reconfigurable Architecture and Design Methods Aiming at Control-Intensive Kernels , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[6] Joel Emer,et al. Eyeriss: an Energy-efficient Reconfigurable Accelerator for Deep Convolutional Neural Networks Accessed Terms of Use , 2022 .
[7] Leibo Liu,et al. Hierarchical representation of on-chip context to reduce reconfiguration time and implementation area for coarse-grained reconfigurable architecture , 2013, Science China Information Sciences.
[8] John Wawrzynek,et al. Reconfigurable computing: what, why, and implications for design automation , 1999, DAC '99.
[9] Leibo Liu,et al. CIACP: A Correlation- and Iteration- Aware Cache Partitioning Mechanism to Improve Performance of Multiple Coarse-Grained Reconfigurable Arrays , 2017, IEEE Transactions on Parallel and Distributed Systems.
[10] Karthikeyan Sankaralingam,et al. Pushing the limits of accelerator efficiency while retaining programmability , 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).
[11] Liesbet Van der Perre,et al. Mapping of 40 MHz MIMO SDM-OFDM Baseband Processing on Multi-Processor SDR Platform , 2008, 2008 11th IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems.
[12] Berin Martini,et al. NeuFlow: A runtime reconfigurable dataflow processor for vision , 2011, CVPR 2011 WORKSHOPS.
[13] Anupam Chattopadhyay,et al. Ingredients of Adaptability: A Survey of Reconfigurable Processors , 2013, VLSI Design.
[14] Leibo Liu,et al. Polyhedral model based mapping optimization of loop nests for CGRAs , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[15] A. G. Hirschbiel,et al. A Novel ASIC Design Approach based on a New Machine Paradigm , 1990, ESSCIRC '90: Sixteenth European Solid-State Circuits Conference.
[16] Gerald Estrin,et al. Organization of computer systems: the fixed plus variable structure computer , 1960, IRE-AIEE-ACM '60 (Western).
[17] Leibo Liu,et al. On-Chip Memory Hierarchy in One Coarse-Grained Reconfigurable Architecture to Compress Memory Space and to Reduce Reconfiguration Time and Data-Reference Time , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[18] Jason Helge Anderson,et al. CGRA-ME: A unified framework for CGRA modelling and exploration , 2017, 2017 IEEE 28th International Conference on Application-specific Systems, Architectures and Processors (ASAP).
[19] Leibo Liu,et al. Configuration Context Reduction for Coarse-Grained Reconfigurable Architecture , 2012, IEICE Trans. Inf. Syst..
[20] Tom Vander Aa,et al. Still Image Processing on Coarse-Grained Reconfigurable Array Architectures , 2010, J. Signal Process. Syst..
[21] Jan M. Rabaey,et al. A reconfigurable multiprocessor IC for rapid prototyping of algorithmic-specific high-speed DSP data paths , 1992 .
[22] Albert Cohen,et al. Coarse-Grained Loop Parallelization: Iteration Space Slicing vs Affine Transformations , 2009, 2009 Eighth International Symposium on Parallel and Distributed Computing.
[23] David Atienza,et al. i-DPs CGRA: An Interleaved-Datapaths Reconfigurable Accelerator for Embedded Bio-Signal Processing , 2019, IEEE Embedded Systems Letters.
[24] Minsoo Kim,et al. Flexible video processing platform for 8K UHD TV , 2015, 2015 IEEE Hot Chips 27 Symposium (HCS).
[25] Chen Yang,et al. HReA: An Energy-Efficient Embedded Dynamically Reconfigurable Fabric for 13-Dwarfs Processing , 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.
[26] Tughrul Arslan,et al. Code Compression and Decompression for Coarse-Grain Reconfigurable Architectures , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[27] Jürgen Becker,et al. H. 264 Decoder at HD Resolution on a Coarse Grain Dynamically Reconfigurable Architecture , 2007, 2007 International Conference on Field Programmable Logic and Applications.
[28] Jhing-Fa Wang,et al. Temporal Partitioning Data Flow Graphs for Dynamically Reconfigurable Computing , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[29] Bingfeng Mei,et al. Mapping an H.264/AVC decoder onto the ADRES reconfigurable architecture , 2005, International Conference on Field Programmable Logic and Applications, 2005..
[30] Muhammad Shafique,et al. PX-CGRA: Polymorphic approximate coarse-grained reconfigurable architecture , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[31] Kunle Olukotun,et al. Plasticine: A reconfigurable architecture for parallel patterns , 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).
[32] Fadi J. Kurdahi,et al. MorphoSys: An Integrated Reconfigurable System for Data-Parallel and Computation-Intensive Applications , 2000, IEEE Trans. Computers.
[33] Samuel Williams,et al. The Landscape of Parallel Computing Research: A View from Berkeley , 2006 .
[34] Rudy Lauwereins,et al. ADRES: An Architecture with Tightly Coupled VLIW Processor and Coarse-Grained Reconfigurable Matrix , 2003, FPL.
[35] Chenchen Deng,et al. TLIA: Efficient Reconfigurable Architecture for Control-Intensive Kernels with Triggered-Long-Instructions , 2016, IEEE Transactions on Parallel and Distributed Systems.
[36] Mark Horowitz,et al. 1.1 Computing's energy problem (and what we can do about it) , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[37] Annie Pérez,et al. Celator: A Multi-algorithm Cryptographic Co-processor , 2008, 2008 International Conference on Reconfigurable Computing and FPGAs.
[38] Leibo Liu,et al. A 1.06-to-5.09 TOPS/W reconfigurable hybrid-neural-network processor for deep learning applications , 2017, 2017 Symposium on VLSI Circuits.
[39] Yoav Etsion,et al. Inter-Thread Communication in Multithreaded, Reconfigurable Coarse-Grain Arrays , 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[40] André DeHon,et al. Fundamental Underpinnings of Reconfigurable Computing Architectures , 2015, Proceedings of the IEEE.
[41] Hideharu Amano,et al. A Survey on Dynamically Reconfigurable Processors , 2006, IEICE Trans. Commun..
[42] Roger D. Chamberlain,et al. Superoptimized Memory Subsystems for Streaming Applications , 2015, FPGA.
[43] Leibo Liu,et al. Temporal partitioning algorithm for a coarse-grained reconfigurable computing architecture , 2009, Proceedings of the 2009 12th International Symposium on Integrated Circuits.
[44] James Coole,et al. Intermediate fabrics: Virtual architectures for circuit portability and fast placement and routing , 2010, 2010 IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[45] Markus Weinhardt,et al. PACT XPP—A Self-Reconfigurable Data Processing Architecture , 2003, The Journal of Supercomputing.
[46] Henk Corporaal,et al. Coarse grained reconfigurable architectures in the past 25 years: Overview and classification , 2016, 2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS).
[47] Yanbing Li,et al. Hardware-software co-design of embedded reconfigurable architectures , 2000, DAC.
[48] Wei Shaojun. Front-End Design of Task Compiler for Reconfigurable Multimedia Processor , 2011 .
[49] Dong Wang,et al. An energy-efficient coarse-grained dynamically reconfigurable fabric for multiple-standard video decoding applications , 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.
[50] Mary W. Hall,et al. Increasing the Applicability of Scalar Replacement , 2004, CC.
[51] V. Derudder,et al. Mapping a multiple antenna SDM-OFDM receiver on the ADRES coarse-grained reconfigurable processor , 2005, IEEE Workshop on Signal Processing Systems Design and Implementation, 2005..
[52] Derek Chiou,et al. Cryptoraptor: High throughput reconfigurable cryptographic processor , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[53] Gerd Ascheid,et al. FLEXDET: Flexible, Efficient Multi-Mode MIMO Detection Using Reconfigurable ASIP , 2012, 2012 IEEE 20th International Symposium on Field-Programmable Custom Computing Machines.
[54] T. Sato,et al. Implementation of dynamically reconfigurable processor DAPDNA-2 , 2005, 2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test, 2005. (VLSI-TSA-DAT)..
[55] Bertil Svensson,et al. Evolution in architectures and programming methodologies of coarse-grained reconfigurable computing , 2009, Microprocess. Microsystems.