Fast Simulation of Networks-on-Chip with Priority-Preemptive Arbitration

An increasingly time-consuming part of the design flow of on-chip multiprocessors is the simulation of the interconnect architecture. The accurate simulation of state-of-the art network-on-chip interconnects can take hours, and this process is repeated for each design iteration because it provides valuable insights on communication latencies that can greatly affect the overall performance of the system. In this article, we identify a time-predictable network-on-chip architecture and show that its timing behaviour can be predicted using models which are far less complex than the architecture itself. We then explore such a feature to produce simplified and lightweight simulation models that can produce latency figures with more than 90% accuracy and simulate more than 1,000 times faster when compared to a cycle-accurate model of the same interconnect.

[1]  Alan Burns,et al.  Real-Time Communication Analysis for On-Chip Networks with Wormhole Switching , 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).

[2]  Alain Greiner,et al.  An Efficient TLM/T Modeling and Simulation Environment Based on Conservative Parallel Discrete Event Principles , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[3]  Henk Corporaal,et al.  Fast and accurate protocol specific bus modeling using TLM 2.0 , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[4]  Ren-Song Tsay,et al.  Source-level timing annotation for fast and accurate TLM computation model generation , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[5]  Wei Zhang,et al.  A NoC Traffic Suite Based on Real Applications , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

[6]  Alan Burns,et al.  Real-Time Systems and Programming Languages , 2009 .

[7]  Leandro Soares Indrusiak,et al.  End-to-end schedulability tests for multiprocessor embedded systems based on networks-on-chip with priority-preemptive arbitration , 2014, J. Syst. Archit..

[8]  Gunar Schirner,et al.  Quantitative analysis of the speed/accuracy trade-off in transaction level modeling , 2008, TECS.

[9]  Fernando Moraes,et al.  Evaluation of Routing Algorithms on Mesh Based NoCs , 2004 .

[10]  Wenhua Dou,et al.  Analysis of worst-case delay bounds for best-effort communication in wormhole networks on chip , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[11]  Luca Benini,et al.  A novel approach for network on chip emulation , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[12]  Leandro Soares Indrusiak,et al.  Fast and accurate transaction-level model of a wormhole network-on-chip with priority preemptive virtual channel arbitration , 2011, 2011 Design, Automation & Test in Europe.

[13]  David Emanuel Real-Time Communication. , 2015, JEMS : a journal of emergency medical services.

[14]  Ran Ginosar,et al.  QNoC: QoS architecture and design process for network on chip , 2004, J. Syst. Archit..

[15]  T. Bjerregaard,et al.  Virtual channel designs for guaranteeing bandwidth in asynchronous network-on-chip , 2004, Proceedings Norchip Conference, 2004..

[16]  Leandro Soares Indrusiak,et al.  Evaluating the impact of communication latency on applications running over on-chip multiprocessing platforms: A layered approach , 2010, 2010 8th IEEE International Conference on Industrial Informatics.

[17]  Fernando Gehm Moraes,et al.  Virtual Channels in Networks on Chip: Implementation and Evaluation on Hermes NoC , 2005, 2005 18th Symposium on Integrated Circuits and Systems Design.

[18]  Alan Burns,et al.  Schedulability Analysis for Real Time On-Chip Communication with Wormhole Switching , 2010, Int. J. Embed. Real Time Commun. Syst..

[19]  Martin Radetzki,et al.  Scalable parallel simulation of networks on chip , 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[20]  Gunar Schirner,et al.  Result-Oriented Modeling—A Novel Technique for Fast and Accurate TLM , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[21]  Mohammad Hosseinabady,et al.  SystemC Architectural Transaction Level Modelling for Large NoCs , 2010, FDL.

[22]  Alan Burns,et al.  Real-Time Systems and Programming Languages - Ada, Real-Time Java and C / Real-Time POSIX, Fourth Edition , 2009, International computer science series.

[23]  Patrick Meumeu Yomsi,et al.  Worst-case communication delay analysis for many-cores using a Limited Migrative Model , 2014, 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications.

[24]  Peter Marwedel,et al.  Embedded system design , 2021, Embedded Systems.

[25]  Leandro Soares Indrusiak,et al.  Power-aware dynamic mapping heuristics for NoC-based MPSoCs using a unified model-based approach , 2013, TECS.

[26]  Edward A. Lee,et al.  Taming heterogeneity - the Ptolemy approach , 2003, Proc. IEEE.

[27]  Hiren D. Patel,et al.  Bounding buffer space requirements for real-time priority-aware networks , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[28]  Leandro Soares Indrusiak,et al.  A high abstraction, high accuracy power estimation model for networks-on-chip , 2009, SBCCI.

[29]  Klaus Hofmann,et al.  LatEst: Latency estimation and high speed evaluation for wormhole switched Networks-on-Chip , 2014, 2014 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC).

[30]  Daniel Gajski,et al.  Transaction level modeling: an overview , 2003, First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).

[31]  Neil C. Audsley,et al.  A Mixed Timing System-Level Embedded Software Modelling and Simulation Approach , 2009, 2009 International Conference on Embedded Software and Systems.

[32]  Nan Jiang,et al.  A detailed and flexible cycle-accurate Network-on-Chip simulator , 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

[33]  Martin Radetzki,et al.  A SystemC TLM2 model of communication in wormhole switched Networks-On-Chip , 2009, 2009 Forum on Specification & Design Languages (FDL).

[34]  Axel Jantsch,et al.  Mathematical formalisms for performance evaluation of networks-on-chip , 2013, CSUR.

[35]  Sander Stuijk,et al.  Dataflow Analysis for Real-Time Embedded Multiprocessor System Design , 2005 .

[36]  Kees Goossens,et al.  AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.