A Process-Variation-Tolerant Method for Nanophotonic On-Chip Network

Nanophotonic networks, a potential candidate for future networks on-chip, have been challenged for their reliability due to several device-level limitations. One of the main issues is that fabrication errors (a.k.a. process variations) can cause devices to malfunction, rendering communication unreliable. For example, the microring resonator, a preferred optical modulator device, may not resonate at the designated wavelength under process variations (PVs), leading to communication errors and bandwidth loss. This article proposes a series of solutions to the wavelength drifting problem of microrings and subsequent bandwidth loss problem of an optical network, due to PVs. The objective is to maximize network bandwidth through proper arrangement among microrings and wavelengths with minimum power requirements. Our arrangement, called “MinTrim,” solves this problem using simple integer linear programming, adding supplementary microrings, and allowing flexible assignment of wavelengths to network nodes as long as the resulting network presents maximal bandwidth. Each step is shown to improve bandwidth provisioning with lower power requirements. Evaluations on a sample network show that a baseline network could lose more than 40% bandwidth due to PVs. Such loss can be recovered by MinTrim to produce a network with 98.4% working bandwidth. In addition, the power required for arranging microrings is 39% lower than the baseline. Therefore, MinTrim provides an efficient PV-tolerant solution to improving the reliability of on-chip photonics.

[1]  Oded Cohen,et al.  Mode-locked silicon evanescent lasers. , 2007, Optics express.

[2]  Qianfan Xu,et al.  Micrometre-scale silicon electro-optic modulator , 2005, Nature.

[3]  Jun Yang,et al.  A composite and scalable cache coherence protocol for large scale CMPs , 2011, ICS '11.

[4]  Qianfan Xu,et al.  Silicon microring resonators with 1.5-μm radius , 2008 .

[5]  Sani R. Nassif Modeling and forecasting of manufacturing variations (embedded tutorial) , 2001, ASP-DAC '01.

[6]  Mikko H. Lipasti,et al.  Optical tokens in many-core processors , 2010 .

[7]  Scott Daniel Hector,et al.  Critical dimension control in optical lithography , 2003 .

[8]  Ashok V. Krishnamoorthy,et al.  Silicon-photonic network architectures for scalable, power-efficient multi-chip systems , 2010, ISCA '10.

[9]  Qianfan Xu,et al.  12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. , 2007, Optics express.

[10]  Yu Cao,et al.  Mapping statistical process variations toward circuit performance variability: an analytical modeling approach , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[11]  Xi Chen,et al.  Reliability Modeling and Management of Nanophotonic On-Chip Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Yan Zheng,et al.  Power-efficient calibration and reconfiguration for optical network-on-chip , 2012, IEEE/OSA Journal of Optical Communications and Networking.

[13]  Rami G. Melhem,et al.  Tolerating process variations in nanophotonic on-chip networks , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

[14]  David H. Albonesi,et al.  Phastlane: a rapid transit optical routing network , 2009, ISCA '09.

[15]  Kwang-Ting Cheng,et al.  Variation-aware adaptive tuning for nanophotonic interconnects , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[16]  Alyssa B. Apsel,et al.  Analysis of challenges for on-chip optical interconnects , 2009, GLSVLSI '09.

[17]  N. Binkert,et al.  Atomic Coherence: Leveraging nanophotonics to build race-free cache coherence protocols , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[18]  Sani R. Nassif,et al.  Modeling and forecasting of manufacturing variations , 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).

[19]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[20]  Christopher Batten,et al.  Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[21]  George Kurian,et al.  ATAC: A 1000-core cache-coherent processor with on-chip optical network , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

[22]  J. Torrellas,et al.  VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.

[23]  L. Chrostowski,et al.  Impact of fabrication non-uniformity on chip-scale silicon photonic integrated circuits , 2014, OFC 2014.

[24]  José F. Martínez,et al.  A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing , 2010, ASPLOS XV.

[25]  R. Baets,et al.  Trimming of silicon ring resonator by electron beam induced compaction and strain. , 2008, Optics express.

[26]  Jie Sun,et al.  Nanophotonic integration in state-of-the-art CMOS foundries. , 2011, Optics express.

[27]  Venkatesh Akella,et al.  Resilient microring resonator based photonic networks , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[28]  M. Paniccia,et al.  A high-speed silicon optical modulator based on a metal–oxide–semiconductor capacitor , 2004, Nature.

[29]  Venkatesh Akella,et al.  Addressing system-level trimming issues in on-chip nanophotonic networks , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[30]  Jung Ho Ahn,et al.  The role of optics in future high radix switch design , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[31]  Alyssa B. Apsel,et al.  Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[32]  Brian W. Kernighan,et al.  AMPL: A Modeling Language for Mathematical Programming , 1993 .

[33]  Dan-Xia Xu,et al.  Polarization Control in Silicon Photonic Waveguide Components Using Cladding Stress Engineering , 2011 .

[34]  Yasuo Kokubun,et al.  High UV Sensitivity of SiON Film and Its Application to Center Wavelength Trimming of Microring Resonator Filter , 2005, IEICE Trans. Electron..

[35]  Masashi Abe,et al.  Birefringence suppression of UV-induced refractive index with grooves in silica-based planar lightwave circuits , 2005 .

[36]  Rami G. Melhem,et al.  BandArb: mitigating the effects of thermal and process variations in silicon-photonic network , 2015, Conf. Computing Frontiers.

[37]  Y. Kokubun,et al.  Wide range center wavelength trimming of vertically coupled microring resonator filter by direct UV irradiation to SiN ring core , 2004, IEEE Photonics Technology Letters.

[38]  Christopher Batten,et al.  Re-architecting DRAM memory systems with monolithically integrated silicon photonics , 2010, ISCA.

[39]  John Kim,et al.  FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[40]  Odile Liboiron-Ladouceur,et al.  Photonic integrated circuits: A study on process variations , 2016, 2016 Optical Fiber Communications Conference and Exhibition (OFC).

[41]  S. J. B. Yoo CMOS-compatible silicon photonic integrated systems in future computing and communication systems , 2010, OECC 2010 Technical Digest.

[42]  Yasuo Kokubun,et al.  UV trimming of polarization-independent microring resonator by internal stress and temperature control. , 2010, Optics express.

[43]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[44]  Mikko H. Lipasti,et al.  Light speed arbitration and flow control for nanophotonic interconnects , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[45]  David J. Thomson,et al.  Silicon optical modulators , 2010 .

[46]  Jung Ho Ahn,et al.  Devices and architectures for photonic chip-scale integration , 2009 .

[47]  Ciyuan Qiu,et al.  Wavelength tracking with thermally controlled silicon resonators. , 2011, Optics express.

[48]  Christopher Batten,et al.  Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[49]  Chen Sun,et al.  Addressing link-level design tradeoffs for integrated photonic interconnects , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).