Chip-level soft error estimation method

This paper gives a review of considerations necessary for the prediction of soft error rates (SERs) for microprocessor designs. It summarizes the physics and silicon process dependencies of soft error mechanisms and describes the determination of SERs for basic circuit types. It reviews the impact of logical and architectural filtering on SER calculations and focuses on the structural filtering of soft radiation events by nodal timing mechanisms.

[1]  J. Ziegler,et al.  Effect of Cosmic Rays on Computer Memories , 1979, Science.

[2]  Edward D. Lazowska,et al.  Quantitative System Performance , 1985, Int. CMG Conference.

[3]  Joel Emer,et al.  Computing Architectural Vulnerability Factors for Address-Based Structures , 2005, ISCA 2005.

[4]  Joel S. Emer,et al.  The soft error problem: an architectural perspective , 2005, 11th International Symposium on High-Performance Computer Architecture.

[5]  G. Srinivasan,et al.  Accurate, predictive modeling of soft error rate due to cosmic rays and chip alpha radiation , 1994, Proceedings of 1994 IEEE International Reliability Physics Symposium.

[6]  J. Tschanz,et al.  Neutron soft error rate measurements in a 90-nm CMOS process and scaling trends in SRAM from 0.25-/spl mu/m to 90-nm generation , 2003, IEEE International Electron Devices Meeting 2003.

[7]  Lloyd W. Massengill,et al.  Impact of scaling on soft-error rates in commercial microprocessors , 2002 .

[8]  Y. Yagil,et al.  A systematic approach to SER estimation and solutions , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..

[9]  P.E. Dodd,et al.  Neutron-induced soft errors, latchup, and comparison of SER test methods for SRAM technologies , 2002, Digest. International Electron Devices Meeting,.

[10]  N. Cohen,et al.  Soft error considerations for deep-submicron CMOS circuit applications , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).

[11]  Savio N. Chau Fault injection boundary scan design for verification of fault tolerant systems , 1994, Proceedings., International Test Conference.

[12]  Lorenzo Alvisi,et al.  Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.

[13]  Dhiraj K. Pradhan,et al.  Fault Injection: A Method for Validating Computer-System Dependability , 1995, Computer.

[14]  N. Seifert,et al.  Radiation-induced clock jitter and race , 2005, 2005 IEEE International Reliability Physics Symposium, 2005. Proceedings. 43rd Annual..

[15]  Alfredo Benso,et al.  Fault behavior observation of a microprocessor system through a VHDL simulation-based fault injection experiment , 1996, Proceedings EURO-DAC '96. European Design Automation Conference with EURO-VHDL '96 and Exhibition.

[16]  R. Baumann The impact of technology scaling on soft error rate performance and limits to the efficacy of error correction , 2002, Digest. International Electron Devices Meeting,.

[17]  G. R. Srinivasan,et al.  Soft-error Monte Carlo modeling program, SEMM , 1996, IBM J. Res. Dev..

[18]  Johan Karlsson,et al.  Fault injection into VHDL models: the MEFISTO tool , 1994 .

[19]  Changhong Dai,et al.  Impact of CMOS process scaling and SOI on the soft error rates of logic processes , 2001, 2001 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.01 CH37184).

[20]  K. Soumyanath,et al.  Scaling trends of cosmic ray induced soft errors in static latches beyond 0.18 /spl mu/ , 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).

[21]  J. Maiz,et al.  Alpha-SER modeling and simulation for sub-0.25 /spl mu/m CMOS technology , 1999, 1999 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.99CH36325).

[22]  N. Seifert,et al.  Timing vulnerability factors of sequentials , 2004, IEEE Transactions on Device and Materials Reliability.

[23]  R. J. McPartland Circuit simulations of alpha-particle-induced soft errors in MOS dynamic RAMs , 1981 .

[24]  T. May,et al.  Alpha-particle-induced soft errors in dynamic memories , 1979, IEEE Transactions on Electron Devices.

[25]  Pedro J. Gil,et al.  Fault injection into VHDL models: analysis of the error syndrome of a microcomputer system , 1998, Proceedings. 24th EUROMICRO Conference (Cat. No.98EX204).

[26]  Leo B. Freeman Critical charge calculations for a bipolar SRAM array , 1996, IBM J. Res. Dev..

[27]  Shubhendu S. Mukherjee,et al.  Detailed design and evaluation of redundant multithreading alternatives , 2002, ISCA.

[28]  Huntington W. Curtis,et al.  Accelerated testing for cosmic soft-error rate , 1996, IBM J. Res. Dev..

[29]  James L. Walsh,et al.  Field testing for cosmic ray soft errors in semiconductor memories , 1996, IBM J. Res. Dev..

[30]  R.C. Baumann,et al.  Radiation-induced soft errors in advanced semiconductor technologies , 2005, IEEE Transactions on Device and Materials Reliability.

[31]  Henry H. K. Tang,et al.  Nuclear physics of cosmic ray interaction with semiconductor materials: Particle-induced soft errors from a physicist's perspective , 1996, IBM J. Res. Dev..

[32]  Todd M. Austin,et al.  A Systematic Methodology to Compute the Architectural Vulnerability Factors for a High-Performance Microprocessor , 2003, MICRO.

[33]  Arun K. Somani,et al.  Soft error sensitivity characterization for microprocessor dependability enhancement strategy , 2002, Proceedings International Conference on Dependable Systems and Networks.

[34]  G. R. Srinivasan Modeling the cosmic-ray-induced soft-error rate in integrated circuits: An overview , 1996, IBM J. Res. Dev..