Analysis of transient voltage fluctuations in FPGAs

Due to recent technology scaling trends and increased circuit complexity, process and runtime variabilities are becoming major threats for correct circuit operation. Among these, transient voltage fluctuations appear to be the most critical issue, accounting for the biggest component of timing margin, at increased cost. As various design and workload parameters have an impact on voltage fluctuations, they need to be fully understood in order to design efficient countermeasures and margining. FPGAs are predestined for this analysis by allowing more control over such experiments at lower cost than ASICs. Even more, they highly suffer from the same issues, which are typically only handled by excessive and over-pessimistic timing margining built into the mapping tools. In this work, we implemented and calibrated sensors in configurable logic appropriate to observe delay changes caused by transient voltage fluctuations. We place them at multiple locations on the chip to evaluate temporal and spatial changes in timing margin due to different workload-characteristics. This analysis provides useful insights to designers for application mapping and workload scheduling.

[1]  Bishop Brock,et al.  Active Guardband Management in Power7+ to Save Energy and Maintain Reliability , 2013, IEEE Micro.

[2]  Mark Mohammad Tehranipoor,et al.  Power Supply Noise: A Survey on Effects and Research , 2010, IEEE Design & Test of Computers.

[3]  Wentai Liu,et al.  A sampling technique and its CMOS implementation with 1 Gb/s bandwidth and 25 ps resolution , 1994 .

[4]  Abdulazim Amouri,et al.  Aging effects in FPGAs: an experimental analysis , 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

[5]  Wayne Luk,et al.  Detecting power attacks on reconfigurable hardware , 2012, 22nd International Conference on Field Programmable Logic and Applications (FPL).

[6]  Kevin Skadron,et al.  Architecture implications of pads as a scarce resource , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[7]  John P. Hayes,et al.  Low-cost sensing with ring oscillator arrays for healthier reconfigurable systems , 2012, TRETS.

[8]  Qiang Xu,et al.  Fine-grained characterization of process variation in FPGAs , 2010, 2010 International Conference on Field-Programmable Technology.

[9]  Nasser A. Kurd,et al.  Low Power Analog Circuit Techniques in the 5 , 2015 .

[10]  Eby G. Friedman,et al.  Scaling trends of on-chip power distribution noise , 2004 .

[11]  Peter Y. K. Cheung,et al.  Self-Measurement of Combinatorial Circuit Delays in FPGAs , 2009, TRETS.

[12]  Pankaj Pant,et al.  Understanding Power Supply Droop during At-Speed Scan Testing , 2009, 2009 27th IEEE VLSI Test Symposium.

[13]  Shidhartha Das,et al.  Modeling and characterization of the system-level Power Delivery Network for a dual-core ARM Cortex-A57 cluster in 28nm CMOS , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[14]  Soraya Ghiasi,et al.  A Distributed Critical-Path Timing Monitor for a 65nm High-Performance Microprocessor , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[15]  Srikanth Balasubramanian Power delivery for high performance microprocessors , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[16]  Xijiang Lin Power Supply Droop and Its Impacts on Structural At-Speed Testing , 2012, 2012 IEEE 21st Asian Test Symposium.

[17]  Resve A. Saleh,et al.  Power Supply Noise in SoCs: Metrics, Management, and Measurement , 2007, IEEE Design & Test of Computers.

[18]  V. Izzo,et al.  FPGA implementation of a high-resolution time-to-digital converter , 2007, 2007 IEEE Nuclear Science Symposium Conference Record.

[19]  Raminderpal Singh Power Supply Noise in Future IC's: A Crystal Ball Reading , 2002 .

[20]  J. Kalisz,et al.  Field-programmable-gate-array-based time-to-digital converter with 200-ps resolution , 1997 .

[21]  Yong Wang,et al.  DesignCon 2014 Distributed Modeling and Characterization of On-Chip / System Level PDN and Jitter Impact , 2013 .

[22]  Hong Shi,et al.  Simultaneous switching noise in FPGA and structure ASIC devices, methodologies for analysis, modeling, and validation , 2006, 56th Electronic Components and Technology Conference 2006.

[23]  Meeta Sharma Gupta,et al.  Understanding Voltage Variations in Chip Multiprocessors using a Distributed Power-Delivery Network , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[24]  Doug Josephson,et al.  Voltage transient detection and induction for debug and test , 2009, 2009 International Test Conference.

[25]  James Tschanz,et al.  Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[26]  Paolo A. Aseron,et al.  All-Digital Circuit-Level Dynamic Variation Monitor for Silicon Debug and Adaptive Clock Control , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

[27]  Meeta Srivastav,et al.  Sensing nanosecond-scale voltage attacks and natural transients in FPGAs , 2013, FPGA '13.

[28]  Meeta Sharma Gupta,et al.  Tribeca: Design for PVT variations with local recovery and fine-grained adaptation , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[29]  Edoardo Charbon,et al.  A 17ps time-to-digital converter implemented in 65nm FPGA technology , 2009, FPGA '09.

[30]  Zhuyuan Liu,et al.  FPGA core PDN design optimization , 2011, 2011 IEEE International Symposium on Electromagnetic Compatibility.