Advancements in diagnosis driven yield analysis (DDYA): A survey of state-of-the-art scan diagnosis and yield analysis technologies

In this paper, we surveyed the recent advancements in DDYA, which includes scan-based diagnosis technologies and diagnosis driven yields analysis. Multiple industrial cases studies are given to illustrate the values of the DDYA flow. By using the advanced DDYA, diagnosis can be more accurate, fast and informative. More importantly it can help improve yield by finding the systematic defect, identifying the root causes, correlating diagnosis results with DFM and picking highly possible die and suspect for PFA to validate all the findings.

[1]  Wu-Tung Cheng,et al.  Detection and Diagnosis of Static Scan Cell Internal Defect , 2008, 2008 IEEE International Test Conference.

[2]  Yu Huang,et al.  Deterministic Diagnostic Pattern Generation (DDPG) for Compound Defects , 2008, 2008 IEEE International Test Conference.

[3]  R. D. Blanton,et al.  Systematic defect identification through layout snippet clustering , 2010, 2010 IEEE International Test Conference.

[4]  Yu Huang,et al.  Diagnosis of Defects on Scan Enable and Clock Trees , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[5]  Malgorzata Marek-Sadowska,et al.  An efficient and effective methodology on the multiple fault diagnosis , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[6]  Malgorzata Marek-Sadowska,et al.  Diagnosis of hold time defects , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[7]  Huawei Li,et al.  nGFSIM : A GPU-based fault simulator for 1-to-n detection and its applications , 2010, 2010 IEEE International Test Conference.

[8]  Leendert M. Huisman,et al.  Diagnosing combinational logic designs using the single location at-a-time (SLAT) paradigm , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[9]  Shi-Yu Huang,et al.  Quick scan chain diagnosis using signal profiling , 2005, 2005 International Conference on Computer Design.

[10]  A. J. Weger,et al.  A novel scan chain diagnostics technique based on light emission from leakage current , 2004 .

[11]  Janusz Rajski,et al.  Compactor independent direct diagnosis , 2004, 13th Asian Test Symposium.

[12]  Wu-Tung Cheng,et al.  Survey of Scan Chain Diagnosis , 2008, IEEE Design & Test of Computers.

[13]  Sudhakar M. Reddy,et al.  Hyperactive Faults Dictionary to Increase Diagnosis Throughput , 2008, 2008 17th Asian Test Symposium.

[14]  Friedrich Hapke,et al.  Diagnosing Cell Internal Defects Using Analog Simulation-Based Fault Models , 2014, 2014 IEEE 23rd Asian Test Symposium.

[15]  Irith Pomeranz On Transition Fault Diagnosis Using Multicycle At-Speed Broadside Tests , 2011, 2011 Sixteenth IEEE European Test Symposium.

[16]  Yu Zhang,et al.  A diagnostic test generation system , 2010, 2010 IEEE International Test Conference.

[17]  Edward J. McCluskey,et al.  Diagnosis of sequence-dependent chips , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

[18]  Rao Desineni,et al.  Hard to find, easy to find systematics; just find them , 2010, 2010 IEEE International Test Conference.

[19]  Yu Hu,et al.  Observation Point Oriented Deterministic Diagnosis Pattern Generation (DDPG) for Chain Diagnosis , 2008, 2008 17th Asian Test Symposium.

[20]  Manish Sharma,et al.  Layout-aware Diagnosis Leads to Efficient and Effective Physical Failure Analysis , 2011 .

[21]  Srikanth Venkataraman,et al.  Poirot: Applications of a Logic Fault Diagnosis Tool , 2001, IEEE Des. Test Comput..

[22]  Wenlong Wei,et al.  Machine learning-based volume diagnosis , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[23]  Julie Lee,et al.  Identifying design systematics using learning based diagnostic analysis , 2010, 2010 IEEE/SEMI Advanced Semiconductor Manufacturing Conference (ASMC).

[24]  Janusz Rajski,et al.  Diagnosis with Limited Failure Information , 2006, 2006 IEEE International Test Conference.

[25]  Jun Guo,et al.  Hold time validation on silicon and the relevance of hazards in timing analysis , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[26]  Kevin Stanley,et al.  High-Accuracy Flush-and-Scan Software Diagnostic , 2001, IEEE Des. Test Comput..

[27]  Subhasish Mitra,et al.  X-compact: an efficient response compaction technique for test cost reduction , 2002, Proceedings. International Test Conference.

[28]  Sandeep Kumar Goel,et al.  Bridging DFM Analysis and Volume Diagnostics for Yield Learning - A Case Study , 2009, 2009 27th IEEE VLSI Test Symposium.

[29]  Janusz Rajski,et al.  Compressed pattern diagnosis for scan chain failures , 2005, IEEE International Conference on Test, 2005..

[30]  Yuejian Wu,et al.  Diagnosis of scan chain failures , 1998, Proceedings 1998 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (Cat. No.98EX223).

[31]  R. D. Blanton,et al.  To DFM or not to DFM? , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[32]  Wu Yang,et al.  Enabling Baseline Yield Improvement with Diagnosis Driven Yield Analysis , 2012 .

[33]  Sudhakar M. Reddy,et al.  Convolutional compaction of test responses , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[34]  W. Kent Fuchs,et al.  A deductive technique for diagnosis of bridging faults , 1997, ICCAD 1997.

[35]  Kenneth R. Bowden,et al.  The Modern Fault Dictionary , 1985, ITC.

[36]  T. Herrmann,et al.  Identifying systematic critical features using silicon diagnosis data , 2012, 2012 SEMI Advanced Semiconductor Manufacturing Conference.

[37]  Sandip Kundu Diagnosing scan chain faults , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[38]  Tracy Larrabee,et al.  Creating small fault dictionaries [logic circuit fault diagnosis] , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[39]  Jing Wang,et al.  Test access mechanism for multiple identical cores , 2008, 2009 International Test Conference.

[40]  Wu-Tung Cheng,et al.  Deterministic IDDQ diagnosis using a net activation based model , 2011, 2011 IEEE International Test Conference.

[41]  Magdy S. Abadir,et al.  Fault equivalence and diagnostic test generation using ATPG , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

[42]  Yu Huang,et al.  Diagnose Failures Caused by Multiple Locations at a Time , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[43]  Wu-Tung Cheng,et al.  Improved volume diagnosis throughput using dynamic design partitioning , 2012, 2012 IEEE International Test Conference.

[44]  R. D. Blanton,et al.  Diagnostic Test Generation for Arbitrary Faults , 2006, 2006 IEEE International Test Conference.

[45]  Irith Pomeranz,et al.  On the generation of small dictionaries for fault location , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

[46]  Wu-Tung Cheng,et al.  A complete test set to diagnose scan chain failures , 2007, 2007 IEEE International Test Conference.

[47]  Wu-Tung Cheng,et al.  Compression mode diagnosis enables high volume monitoring diagnosis flow , 2005, IEEE International Conference on Test, 2005..

[48]  Sudhakar M. Reddy,et al.  On Improving Diagnostic Test Generation for Scan Chain Failures , 2009, 2009 Asian Test Symposium.

[49]  Irith Pomeranz,et al.  On correction of multiple design errors , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[50]  Wu-Tung Cheng,et al.  Diagnose compound scan chain and system logic defects , 2007, 2007 IEEE International Test Conference.

[51]  Sudhakar M. Reddy,et al.  Statistical diagnosis for intermittent scan chain hold-time fault , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[52]  Yu Huang,et al.  Distributed dynamic partitioning based diagnosis of scan chain , 2013, 2013 IEEE 31st VLSI Test Symposium (VTS).

[53]  Sudhakar M. Reddy,et al.  On Using Design Partitioning to Reduce Diagnosis Memory Footprint , 2011, 2011 Asian Test Symposium.

[54]  J.A. Waicukauski,et al.  Failure diagnosis of structured VLSI , 1989, IEEE Design & Test of Computers.

[55]  Wu-Tung Cheng,et al.  Scan Chain Diagnosis by Adaptive Signal Profiling with Manufacturing ATPG Patterns , 2009, 2009 Asian Test Symposium.

[56]  S.M. Reddy,et al.  Improving Performance of Effect-Cause Diagnosis with Minimal Memory Overhead , 2007, 16th Asian Test Symposium (ATS 2007).

[57]  Hiroshi Takahashi,et al.  Diagnostic test generation for transition faults using a stuck-at ATPG tool , 2009, 2009 International Test Conference.

[58]  Ruifeng Guo,et al.  Fault Dictionary Based Scan Chain Failure Diagnosis , 2007, 16th Asian Test Symposium (ATS 2007).

[59]  Patrick Girard,et al.  Delay-fault diagnosis by critical-path tracing , 1992, IEEE Design & Test of Computers.

[60]  Janusz Rajski,et al.  A method of fault analysis for test generation and fault diagnosis , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[61]  Yu Huang,et al.  A Case Study of Hierarchical Diagnosis for Core-Based SoC , 2010 .

[62]  Srikanth Venkataraman,et al.  A technique for fault diagnosis of defects in scan chains , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[63]  Friedrich Hapke,et al.  Cell-Aware Test , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[64]  Wu-Tung Cheng,et al.  A novel Test Access Mechanism for failure diagnosis of multiple isolated identical cores , 2011, 2011 IEEE International Test Conference.

[65]  Franco Motika,et al.  Application and analysis of IDDQ diagnostic software , 1997, Proceedings International Test Conference 1997.

[66]  Paolo Prinetto,et al.  A diagnostic test pattern generation algorithm , 1990, Proceedings. International Test Conference 1990.