Design of Last-Level On-Chip Cache Using Spin-Torque Transfer RAM (STT RAM)

Because of its high storage density with superior scalability, low integration cost and reasonably high access speed, spin-torque transfer random access memory (STT RAM) appears to have a promising potential to replace SRAM as last-level on-chip cache (e.g., L2 or L3 cache) for microprocessors. Due to unique operational characteristics of its storage device magnetic tunneling junction (MTJ), STT RAM is inherently subject to a write latency versus read latency tradeoff that is determined by the memory cell size. This paper first quantitatively studies how different memory cell sizing may impact the overall computing system performance, and shows that different computing workloads may have conflicting expectations on memory cell sizing. Leveraging MTJ device switching characteristics, we further propose an STT RAM architecture design method that can make STT RAM cache with relatively small memory cell size perform well over a wide spectrum of computing benchmarks. This has been well demonstrated using CACTI-based memory modeling and computing system performance simulations using SimpleScalar. Moreover, we show that this design method can also reduce STT RAM cache energy consumption by up to 30% over a variety of benchmarks.

[1]  D. Dimitrov,et al.  Thermal fluctuation effects on spin torque induced switching: Mean and variations , 2008 .

[2]  U-In Chung,et al.  Switching Properties in Spin Transper Torque MRAM with sub-5Onm MTJ size , 2006, 2006 7th Annual Non-Volatile Memory Technology Symposium.

[3]  Yiran Chen,et al.  Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[4]  Brad Calder,et al.  Automatically characterizing large scale program behavior , 2002, ASPLOS X.

[5]  Erwin J. Prinz The zen of nonvolatile memories , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[6]  M. Hosomi,et al.  A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ram , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[7]  Z. Diao,et al.  Spin-transfer torque switching in magnetic tunnel junctions and spin-transfer torque random access memory , 2007 .

[8]  Erik Jan Marinissen,et al.  Challenges in embedded memory design and test , 2005, Design, Automation and Test in Europe.

[9]  Yiran Chen,et al.  Spin Torque Random Access Memory Down to 22 nm Technology , 2008, IEEE Transactions on Magnetics.

[10]  N. Kasai,et al.  A 16-Mb Toggle MRAM With Burst Modes , 2007, IEEE Journal of Solid-State Circuits.

[11]  S. Ikeda,et al.  2 Mb SPRAM (SPin-Transfer Torque RAM) With Bit-by-Bit Bi-Directional Current Write and Parallelizing-Direction Current Read , 2008, IEEE Journal of Solid-State Circuits.

[12]  Kaushik Roy,et al.  An alternate design paradigm for robust spin-torque transfer magnetic RAM (STT MRAM) from circuit/architecture perspective , 2009, ASP-DAC.

[13]  A. Omair,et al.  A 4-Mb 0.18-/spl mu/m 1T1MTJ toggle MRAM with balanced three input sensing scheme and locally mirrored unidirectional write drivers , 2005, IEEE Journal of Solid-State Circuits.

[14]  J. Slaughter Recent Advances in MRAM Technology , 2007, 2007 65th Annual Device Research Conference.

[15]  Kinam Kim,et al.  Memory Technologies for sub-40nm Node , 2007, 2007 IEEE International Electron Devices Meeting.

[16]  Yiran Chen,et al.  Design Margin Exploration of Spin-Torque Transfer RAM (SPRAM) , 2008, ISQED 2008.

[17]  Shoji Ikeda,et al.  2Mb Spin-Transfer Torque RAM (SPRAM) with Bit-by-Bit Bidirectional Current Write and Parallelizing-Direction Current Read , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[18]  Yiran Chen,et al.  Design of Spin-Torque Transfer Magnetoresistive RAM and CAM/TCAM with High Sensing and Search Speed , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[19]  Kaushik Roy,et al.  Modeling of failure probability and statistical design of Spin-Torque Transfer Magnetic Random Access Memory (STT MRAM) array for yield enhancement , 2008, 2008 45th ACM/IEEE Design Automation Conference.