Obfuscating the Interconnects: Low-Cost and Resilient Full-Chip Layout Camouflaging

Layout camouflaging can protect the intellectual property of modern circuits. Most prior art, however, incurs excessive layout overheads and necessitates customization of active-device manufacturing processes, i.e., the front-end-of-line (FEOL). As a result, camouflaging has typically been applied selectively, which can ultimately undermine its resilience. Here, we propose a low-cost and generic scheme—full-chip camouflaging can be finally realized without reservations. Our scheme is based on obfuscating the interconnects, i.e., the back-end-of-line (BEOL), through design-time handling for real and dummy wires and vias. To that end, we implement custom, BEOL-centric obfuscation cells, and develop a CAD flow using industrial tools. Our scheme can be applied to any design and technology node without FEOL-level modifications. Considering its BEOL-centric nature, we advocate applying our scheme in conjunction with split manufacturing, to furthermore protect against untrusted fabs. We evaluate our scheme for various designs at the physical, DRC-clean layout level. Our scheme incurs a significantly lower cost than most of the prior art. Notably, for fully camouflaged layouts, we observe average power, performance, and area overheads of 24.96%, 19.06%, and 32.55%, respectively. We conduct a thorough security study addressing the threats (attacks) related to untrustworthy FEOL fabs (proximity attacks) and malicious end-users (SAT-based attacks). An empirical key finding is that only large-scale camouflaging schemes like ours are practically secure against powerful SAT-based attacks. Another key finding is that our scheme hinders both placement- and routing-centric proximity attacks; correct connections are reduced by $7.47\times $ , and complexity is increased by $24.15\times $ , respectively, for such attacks.

[1]  Jeyavijayan Rajendran,et al.  Removal Attacks on Logic Locking and Camouflaging Techniques , 2020, IEEE Transactions on Emerging Topics in Computing.

[2]  Azadeh Davoodi,et al.  Are Proximity Attacks a Threat to the Security of Split Manufacturing of Integrated Circuits? , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Jeyavijayan Rajendran,et al.  The cat and mouse in split manufacturing , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[4]  Christof Paar,et al.  Development of a Layout-Level Hardware Obfuscation Tool , 2015, 2015 IEEE Computer Society Annual Symposium on VLSI.

[5]  Arnaud Furnemont,et al.  BEOL compatible high tunnel magneto resistance perpendicular magnetic tunnel junctions using a sacrificial Mg layer as CoFeB free layer cap , 2015 .

[6]  Ken Mai,et al.  A secure camouflaged logic family using post-manufacturing programming with a 3.6GHz adder prototype in 65nm CMOS at 1V nominal VDD , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[7]  Siddharth Garg,et al.  The SAT Attack on IC Camouflaging: Impact and Potential Countermeasures , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  Ronald P. Cocchi,et al.  Circuit camouflage integration for hardware IP protection , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[9]  Jeyavijayan Rajendran,et al.  CamoPerturb: Secure IC camouflaging for minterm protection , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[10]  G. Kar,et al.  Solving the BEOL compatibility challenge of top-pinned magnetic tunnel junction stacks , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[11]  Mark Mohammad Tehranipoor,et al.  Development and Evaluation of Hardware Obfuscation Benchmarks , 2018, Journal of Hardware and Systems Security.

[12]  Meng Li,et al.  AppSAT: Approximately deobfuscating integrated circuits , 2017, 2017 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[13]  Ankur Srivastava,et al.  Mitigating SAT Attack on Logic Locking , 2016, CHES.

[14]  Sayak Ray,et al.  Evaluating the security of logic encryption algorithms , 2015, 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[15]  Cliff Wang,et al.  Introduction to Hardware Security and Trust , 2011 .

[16]  Jiliang Zhang,et al.  A Practical Logic Obfuscation Technique for Hardware Security , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[17]  Yici Cai,et al.  Secure and low-overhead circuit obfuscation technique with multiplexers , 2016, 2016 International Great Lakes Symposium on VLSI (GLSVLSI).

[18]  Domenic Forte,et al.  Novel Bypass Attack and BDD-based Tradeoff Analysis Against All Known Logic Locking Attacks , 2017, CHES.

[19]  Swaroop Ghosh,et al.  A novel threshold voltage defined switch for circuit camouflaging , 2016, 2016 21th IEEE European Test Symposium (ETS).

[20]  Ozgur Sinanoglu,et al.  Protect Your Chip Design Intellectual Property: An Overview , 2019, COINS.

[21]  Daisuke Suzuki,et al.  Reversing stealthy dopant-level circuits , 2014, Journal of Cryptographic Engineering.

[22]  Jeyavijayan Rajendran,et al.  Security analysis of Anti-SAT , 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

[23]  Keshab K. Parhi,et al.  Obfuscating DSP Circuits via High-Level Transformations , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[24]  Lawrence T. Pileggi,et al.  Building trusted ICs using split fabrication , 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

[25]  Azad Naeemi,et al.  BEOL scaling limits and next generation technology prospects , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[26]  Qiang Zhou,et al.  An Efficient Technique to Reverse Engineer Minterm Protection Based Camouflaged Circuit , 2018, Journal of Computer Science and Technology.

[27]  Azadeh Davoodi,et al.  Analysis of Security of Split Manufacturing using Machine Learning , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[28]  Ramesh Karri,et al.  On Improving the Security of Logic Locking , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[29]  Ozgur Sinanoglu,et al.  Obfuscating the interconnects: Low-cost and resilient full-chip layout camouflaging , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[30]  Mark Mohammad Tehranipoor,et al.  Efficient and secure split manufacturing via obfuscated built-in self-authentication , 2015, 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[31]  Hai Zhou,et al.  Double DIP: Re-Evaluating Security of Logic Encryption Algorithms , 2017, ACM Great Lakes Symposium on VLSI.

[32]  Xiangyu Zhang,et al.  Incremental SAT-Based Reverse Engineering of Camouflaged Logic Circuits , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[33]  Meng Li,et al.  Provably secure camouflaging strategy for IC protection , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[34]  Ken Mai,et al.  A secure camouflaged threshold voltage defined logic family , 2016, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[35]  Chinmay Kulkarni,et al.  Carbon nanotubes as interconnects , 2010 .

[36]  Ozgur Sinanoglu,et al.  Raise Your Game for Split Manufacturing: Restoring the True Functionality Through BEOL , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[37]  Ozgur Sinanoglu,et al.  Rethinking split manufacturing: An information-theoretic approach with secure layout techniques , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[38]  Jeyavijayan Rajendran,et al.  Provably-Secure Logic Locking: From Theory To Practice , 2017, CCS.

[39]  Jean-Pierre Seifert,et al.  Breaking and entering through the silicon , 2013, CCS.

[40]  Ramesh Karri,et al.  A Primer on Hardware Security: Models, Methods, and Metrics , 2014, Proceedings of the IEEE.

[41]  Huanyu Cheng,et al.  A Physically Transient Form of Silicon Electronics , 2012, Science.

[42]  Evangeline F. Y. Young,et al.  Attacking Split Manufacturing from a Deep Learning Perspective , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

[43]  Christof Paar,et al.  Physical Design Obfuscation of Hardware: A Comprehensive Investigation of Device and Logic-Level Techniques , 2019, IEEE Transactions on Information Forensics and Security.

[44]  Ozgur Sinanoglu,et al.  Concerted wire lifting: Enabling secure and cost-effective split manufacturing , 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

[45]  Jeyavijayan Rajendran,et al.  Making split fabrication synergistically secure and manufacturable , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[46]  Jeyavijayan Rajendran,et al.  Regaining Trust in VLSI Design: Design-for-Trust Techniques , 2014, Proceedings of the IEEE.

[47]  Shuai Chen,et al.  A Chip-Level Anti-Reverse Engineering Technique , 2018, ACM J. Emerg. Technol. Comput. Syst..

[48]  Igor L. Markov,et al.  Limits on fundamental limits to computation , 2014, Nature.

[49]  Siddharth Garg,et al.  Threshold-Dependent Camouflaged Cells to Secure Circuits Against Reverse Engineering Attacks , 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[50]  Jeyavijayan Rajendran,et al.  Security analysis of integrated circuit camouflaging , 2013, CCS.