Holistic metrology approach: hybrid metrology utilizing scatterometry, critical dimension-atomic force microscope and critical dimension-scanning electron microscope

Shrinking design rules and reduced process tolerances require tight control of critical dimension (CD) linewidth, feature shape, and profile of the printed geometry. The holistic metrology approach consists of utilizing all available information from different sources such as data from other toolsets, multiple optical channels, multiple targets, etc., to optimize metrology recipe and improve measurement performance. Various in-line CD metrology toolsets such as scatterometry optical CD, CD-SEM, and CD-AFM are typically utilized individually in fabs. Each of these toolsets has its own set of limitations that are intrinsic to specific measurement technique and algorithm. Here we define "hybrid metrology" to be the use of any two or more metrology toolsets in combination to measure the same dataset. We demonstrate the benefits of the hybrid metrology on two test structures: 22-nm-node gate develop inspect and 32-nm-node fin-shaped field effect transistor gate final inspect. We will cover measurement results obtained using typical BKM (nonhybrid, single toolset standard results) as well as those obtained by utilizing the hybrid metrology approach. Measurement performance will be compared using standard metrology metrics; for example, accuracy and precision.

[1]  Vladimir A. Ukraintsev,et al.  The role of AFM in semiconductor technology development: the 65 nm technology node and beyond , 2005, SPIE Advanced Lithography.

[2]  Carsten Hartig,et al.  Scatterometry as technology enabler for embedded SiGe process , 2008, SPIE Advanced Lithography.

[3]  Charles N. Archie,et al.  Scatterometry measurement precision and accuracy below 70 nm , 2003, SPIE Advanced Lithography.

[4]  Nelson Felix,et al.  Simultaneous measurement of optical properties and geometry of resist using multiple scatterometry targets , 2010 .

[5]  Hui Zhou,et al.  Improving optical measurement accuracy using multi-technique nested uncertainties , 2009, Advanced Lithography.

[6]  Charles N. Archie,et al.  Correlating scatterometry to CD-SEM and electrical gate measurements at the 90-nm node using TMU analysis , 2004, SPIE Advanced Lithography.

[7]  Merritt Funk,et al.  Integrated scatterometry in high-volume manufacturing for polysilicon gate etch control , 2006, SPIE Advanced Lithography.

[8]  Christophe Dezauzier,et al.  3D-AFM enhancement for CD metrology dedicated to lithography sub-28-nm node requirements , 2010, Advanced Lithography.

[9]  Alok Vaid,et al.  Assessing scatterometry for measuring advanced spacer structures with embedded SiGe , 2008, SPIE Advanced Lithography.

[10]  Charles N. Archie,et al.  Feedforward of mask open measurements on an integrated scatterometer to improve gate linewidth control , 2004, SPIE Advanced Lithography.

[11]  Carsten Hartig,et al.  Product-driven material characterization for improved scatterometry time-to-solution , 2009, Advanced Lithography.

[12]  Alok Vaid,et al.  A holistic metrology approach: multi-channel scatterometry for complex applications , 2011, Advanced Lithography.

[13]  Chas Archie,et al.  Hybrid reference metrology exploiting patterning simulation , 2010, Advanced Lithography.