A Double Sensing Scheme With Selective Bitline Voltage Regulation for Ultralow-Voltage Timing Speculative SRAM

A double sensing with selective bitline voltage regulation (DS-SBVR) scheme is proposed to improve the throughput of ultralow-voltage static random access memory (SRAM). It senses the bitline voltage swing twice and compares two samples for confirmation. The bitline voltage is dynamically regulated by charge sharing between two sensing steps. Different from other timing speculative SRAMs, its error flag is generated much earlier; therefore, it achieves a higher reading throughput. Meanwhile, a digitized timing scheme is proposed to generate configurable timing pulses for the DS-SBVR. Compared with other timing techniques, it has a better ability to process, voltage, temperature (PVT) tracking and variance suppression. For fair comparison of performance/power/area, three different column-based timing speculative designs are implemented in the same technology. A 28-nm test chip including 40 SRAM macros (128 <inline-formula> <tex-math notation="LaTeX">$\times $ </tex-math></inline-formula> 32) is fabricated to demonstrate the scheme. Compared with the conventional design, measurements show that DS-SBVR achieves 1.45<inline-formula> <tex-math notation="LaTeX">$\times $ </tex-math></inline-formula> throughput gain at 0.6-V SS corner. The figure of merit (FOM) is introduced for power, performance, and area (PPA) gain comparison. Compared with the conventional design, the FOMs of PPA gain are 1.54 and 2.33 in 128-row and 512-row memories, respectively. Compared with other timing speculative SRAMs, it achieves 1.83<inline-formula> <tex-math notation="LaTeX">$\times $ </tex-math></inline-formula>–2.24<inline-formula> <tex-math notation="LaTeX">$\times $ </tex-math></inline-formula> improvement.

[1]  David Blaauw,et al.  17.3 A reconfigurable dual-port memory with error detection and correction in 28nm FDSOI , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

[2]  Hiroyuki Yamauchi,et al.  A 210mV 7.3MHz 8T SRAM with dual data-aware write-assists and negative read wordline for high cell-stability, speed and area-efficiency , 2013, 2013 Symposium on VLSI Technology.

[3]  Taejoong Song,et al.  13.2 A 14nm FinFET 128Mb 6T SRAM with VMIN-enhancement techniques for low-power applications , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[4]  Meng-Fan Chang,et al.  A large σVTH/VDD tolerant zigzag 8T SRAM with area-efficient decoupled differential sensing and fast write-back scheme , 2010, 2010 Symposium on VLSI Circuits.

[5]  Kaushik Roy,et al.  A 32kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90nm CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[6]  Ching-Te Chuang,et al.  SRAM Write-Ability Improvement With Transient Negative Bit-Line Voltage , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Eric Karl,et al.  Dynamic behavior of SRAM data retention and a novel transient voltage collapse technique for 0.6V 32nm LP SRAM , 2011, 2011 International Electron Devices Meeting.

[8]  David Blaauw,et al.  A Sub-200mV 6T SRAM in 0.13μm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[9]  Meng-Fan Chang,et al.  A 130 mV SRAM With Expanded Write and Read Margins for Subthreshold Applications , 2011, IEEE Journal of Solid-State Circuits.

[10]  Atsushi Kawasumi,et al.  A digitized replica bitline delay technique for random-variation-tolerant timing generation of SRAM sense amplifiers , 2010, 2010 IEEE Asian Solid-State Circuits Conference.

[11]  Massimo Alioto,et al.  Ultra-Low Power VLSI Circuit Design Demystified and Explained: A Tutorial , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[12]  David Blaauw,et al.  Timing error correction techniques for voltage-scalable on-chip memories , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[13]  Meng-Fan Chang,et al.  A Differential Data-Aware Power-Supplied (D$^{2}$AP) 8T SRAM Cell With Expanded Write/Read Stabilities for Lower VDDmin Applications , 2009, IEEE Journal of Solid-State Circuits.

[14]  Meng-Fan Chang,et al.  A differential data aware power-supplied (D2AP) 8T SRAM cell with expanded write/read stabilities for lower VDDmin applications , 2009, 2009 Symposium on VLSI Circuits.

[15]  Min Cao,et al.  A 20nm 112Mb SRAM in High-к metal-gate with assist circuitry for low-leakage and low-VMIN applications , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[16]  David Blaauw,et al.  SRAM for Error-Tolerant Applications With Dynamic Energy-Quality Management in 28 nm CMOS , 2015, IEEE Journal of Solid-State Circuits.

[17]  Lijun Guan,et al.  A Pipeline Replica Bitline Technique for Suppressing Timing Variation of SRAM Sense Amplifiers in a 28-nm CMOS Process , 2017, IEEE Journal of Solid-State Circuits.

[18]  W. Huott,et al.  6.6+ GHz Low Vmin, read and half select disturb-free 1.2 Mb SRAM , 2007, 2007 IEEE Symposium on VLSI Circuits.

[19]  Trevor Mudge,et al.  Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[20]  Paolo A. Aseron,et al.  A 409 GOPS/W Adaptive and Resilient Domino Register File in 22 nm Tri-Gate CMOS Featuring In-Situ Timing Margin and Error Detection for Tolerance to Within-Die Variation, Voltage Droop, Temperature and Aging , 2016, IEEE Journal of Solid-State Circuits.

[21]  Atsushi Kawasumi,et al.  A digitized replica bitline delay technique for random-variation-tolerant timing generation of SRAM sense amplifiers , 2010 .

[22]  M. Nomura,et al.  Multi-step word-line control technology in hierarchical cell architecture for scaled-down high-density SRAMs , 2010, 2010 Symposium on VLSI Circuits.

[23]  Taejoong Song,et al.  A 14 nm FinFET 128 Mb SRAM With V $_{\rm MIN}$ Enhancement Techniques for Low-Power Applications , 2015, IEEE Journal of Solid-State Circuits.

[24]  Meng-Fan Chang,et al.  A Sub-0.3 V Area-Efficient L-Shaped 7T SRAM With Read Bitline Swing Expansion Schemes Based on Boosted Read-Bitline, Asymmetric-V$_{\rm TH}$ Read-Port, and Offset Cell VDD Biasing Techniques , 2013, IEEE Journal of Solid-State Circuits.

[25]  Keith A. Bowman,et al.  PVT-and-aging adaptive wordline boosting for 8T SRAM power reduction , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).