Transient power management through high level synthesis

The use of nanometer technologies is making it increasingly important to consider transient characteristics of a circuit's power dissipation (e.g., peak power, and power gradient or differential) in addition to its average power consumption. Current transient power analysis and reduction approaches are mostly at the transistor- and logic-levels. We argue that, as was the case with average power minimization, architectural solutions to transient power problems can complement and significantly extend the scope of lower-level techniques. In this work, we present a high-level synthesis approach to transient power management. We demonstrate how high-level synthesis can impact the cycle-by-cycle peak power and peak power differential for the synthesized implementation. Further, we demonstrate that it is necessary to consider transient power metrics judiciously in order to minimize or avoid area and performance overheads. In order to alleviate the limits on parallelism imposed by peak power constraints, we propose a novel technique based on the selective insertion of data monitor operations in the behavioral description. We present enhanced scheduling algorithms that can accept constraints on transient power characteristics (in addition to the conventional resource and performance constraints). Experimental results on several example designs obtained using a state-of-the-art commercial design flow and technology library indicate that high-level synthesis with transient power management results in significant benefits-peak power reductions of up to 32% (average of 25%), and peak power differential reductions of up to 59% (average of 42%)-with minimal performance overheads.

[1]  Luca Benini,et al.  Regression Models for Behavioral Power Estimation , 1998, Integr. Comput. Aided Eng..

[2]  Kwang-Ting Cheng,et al.  Estimation for maximum instantaneous current through supply lines for CMOS circuits , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[3]  Niraj K. Jha,et al.  Wavesched: a novel scheduling technique for control-flow intensive behavioral descriptions , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[4]  Massoud Pedram,et al.  High-level power modeling, estimation, and optimization , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  Sujit Dey,et al.  Performance Analysis and Optimization of Schedules for Conditional and Loop-Intensive Specifications , 1994, 31st Design Automation Conference.

[6]  Sujit Dey,et al.  High-Level Power Analysis and Optimization , 1997 .

[7]  Ramesh R. Rao,et al.  Energy efficient battery management , 2000, Proceedings IEEE INFOCOM 2000. Conference on Computer Communications. Nineteenth Annual Joint Conference of the IEEE Computer and Communications Societies (Cat. No.00CH37064).

[8]  Anantha P. Chandrakasan,et al.  Low Power Digital CMOS Design , 1995 .

[9]  Massoud Pedram,et al.  High-level Power Modeling, Estimation, And Optimization , 1997, Proceedings of the 34th Design Automation Conference.

[10]  Kwang-Ting Cheng,et al.  Dynamic timing analysis considering power supply noise effects , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

[11]  John P. Knight,et al.  Power-Profiler: Optimizing ASICs Power Consumption at the Behavioral Level , 1995, 32nd Design Automation Conference.

[12]  Kaushik Roy,et al.  Maximum power estimation for CMOS circuits using deterministic and statistical approaches , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[13]  Vivek Tiwari,et al.  Inductive noise reduction at the architectural level , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

[14]  Massoud Pedram,et al.  Power Aware Design Methodologies , 2002 .

[15]  Luca Benini,et al.  Dynamic power management - design techniques and CAD tools , 1997 .

[16]  Vivek Tiwari,et al.  An architectural solution for the inductive noise problem due to clock-gating , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[17]  Alexandru Nicolau,et al.  Percolation based synthesis , 1991, DAC '90.

[18]  Luca Benini,et al.  Extending lifetime of portable systems by battery scheduling , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[19]  Michael S. Hsiao,et al.  Accurate power macro-modeling techniques for complex RTL circuits , 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.

[20]  Melvin A. Breuer,et al.  Analysis of ground bounce in deep sub-micron circuits , 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).

[21]  Massoud Pedram,et al.  Low power design methodologies , 1996 .

[22]  J. Rabaey,et al.  Behavioral Level Power Estimation and Exploration , 1997 .