Flow Graph Representation

Methodologies based on simple components, such as gate arrays and standard cells, are not adequate when designing complex VLSI systems. Silicon compilation, an evolutionary step from standard cell methodology, offers an increase in design complexity with an increase in design productivity. Silicon compilers can be broadly divided into structural, functional, and intelligent silicon compilers ([GajsSS]). In structural silicon compilation, the designer explicitly defines the microarchitecture; i.e. a structure consisting of registers, busses, RAMS and ALUs. Functional silicon compilers transform a behavioral description into a microarchitecture automatically.

[1]  Daniel D. Gajski,et al.  Towards Intelligent Silicon Compilation , 1987 .

[2]  T. Blackman,et al.  The Silc™ Silicon Compiler: Language and Features , 1985, 22nd ACM/IEEE Design Automation Conference.

[3]  Raúl Camposano Synthesis Techniques for Digital Systems Design , 1985, DAC 1985.

[4]  Alice C. Parker Automated Synthesis of Digital systems , 1984, IEEE Design & Test of Computers.

[5]  Jay R. Southard,et al.  MacPitts: An Approach to Silicon Compilation , 1983, Computer.

[6]  R. Jamier,et al.  APOLLON, A data-path silicon compiler , 1985, IEEE Circuits and Devices Magazine.

[7]  Donald E. Thomas,et al.  The VLSI Design Automation Assistant: What's in a Knowledge Base , 1985, DAC 1985.