Online timing variation tolerance for digital integrated circuits
暂无分享,去创建一个
[1] Shuguang Feng,et al. Self-calibrating Online Wearout Detection , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[2] Robin Wilson,et al. Timing analysis in presence of supply voltage and temperature variations , 2006, ISPD '06.
[3] Xiaowei Li,et al. MicroFix: exploiting path-grained timing adaptability for improving power-performance efficiency , 2009, ISLPED.
[4] Hui Liu,et al. BAT: Performance-Driven Crosstalk Mitigation Based on Bus-Grouping Asynchronous Transmission , 2008, IEICE Trans. Electron..
[5] Huawei Li,et al. M-IVC: Using Multiple Input Vectors to Minimize Aging-Induced Delay , 2009, 2009 Asian Test Symposium.
[6] N. Seifert,et al. Robust system design with built-in soft-error resilience , 2005, Computer.
[7] B.C. Paul,et al. Impact of NBTI on the temporal performance degradation of digital circuits , 2005, IEEE Electron Device Letters.
[8] Pradip Bose,et al. A Proactive Wearout Recovery Approach for Exploiting Microarchitectural Redundancy to Extend Cache SRAM Lifetime , 2008, 2008 International Symposium on Computer Architecture.
[9] Hui Liu,et al. MicroFix: Using timing interpolation and delay sensors for power reduction , 2011, TODE.
[10] Xiaowei Li,et al. Performance-asymmetry-aware scheduling for Chip Multiprocessors with static core coupling , 2010, J. Syst. Archit..
[11] Cecilia Metra,et al. Sensing circuit for on-line detection of delay faults , 1996, IEEE Trans. Very Large Scale Integr. Syst..
[12] Xiaowei Li,et al. ReviveNet: A Self-Adaptive Architecture for Improving Lifetime Reliability via Localized Timing Adaptation , 2011, IEEE Transactions on Computers.
[13] Yu Cao,et al. The Impact of NBTI on the Performance of Combinational and Sequential Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[14] James Tschanz,et al. Impact of Parameter Variations on Circuits and Microarchitecture , 2006, IEEE Micro.
[15] Ming Zhang,et al. Circuit Failure Prediction and Its Application to Transistor Aging , 2007, 25th IEEE VLSI Test Symposium (VTS'07).
[16] Xiaowei Li,et al. Leveraging the core-level complementary effects of PVT variations to reduce timing emergencies in multi-core processors , 2010, ISCA '10.
[17] Shekhar Y. Borkar,et al. Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.
[18] Lorenzo Alvisi,et al. Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.
[19] Xiaowei Li,et al. SVFD: A Versatile Online Fault Detection Scheme via Checking of Stability Violation , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[20] Sarita V. Adve,et al. The impact of technology scaling on lifetime reliability , 2004, International Conference on Dependable Systems and Networks, 2004.
[21] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[22] Mona Attariyan,et al. Low-Cost Protection for SER Upsets and Silicon Defects , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[23] Josep Torrellas,et al. ReCycle:: pipeline adaptation to tolerate process variation , 2007, ISCA '07.
[24] Jianbo Gao,et al. Toward hardware-redundant, fault-tolerant logic for nanoelectronics , 2005, IEEE Design & Test of Computers.
[25] Margaret Martonosi,et al. Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[26] Takashi Ishikawa,et al. Automated low-power technique exploiting multiple supply voltages applied to a media processor , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.
[27] D. Kwong,et al. Dynamic NBTI of PMOS transistors and its impact on device lifetime , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..
[28] Bo Yang,et al. Optimized Circuit Failure Prediction for Aging: Practicality and Promise , 2008, 2008 IEEE International Test Conference.
[29] Pradip Bose,et al. Exploiting structural duplication for lifetime reliability enhancement , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[30] Xiaowei Li,et al. A unified online Fault Detection scheme via checking of Stability Violation , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[31] Yu Cao,et al. Modeling and minimization of PMOS NBTI effect for robust nanometer design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[32] Yun Zhang,et al. Revisiting the Sequential Programming Model for Multi-Core , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[33] Philippe Roussel,et al. Gate oxide breakdown in FET devices and circuits: From nanoscale physics to system-level reliability , 2007, Microelectron. Reliab..
[34] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[35] Josep Torrellas,et al. Facelift: Hiding and slowing down aging in multicores , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[36] Pradip Bose,et al. The case for lifetime reliability-aware microprocessors , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[37] S. Naffziger,et al. Power and temperature control on a 90-nm Itanium family processor , 2006, IEEE Journal of Solid-State Circuits.
[38] Sunil P. Khatri,et al. A Delay-efficient Radiation-hard Digital Design Approach Using CWSP Elements , 2008, 2008 Design, Automation and Test in Europe.
[39] T. May,et al. Alpha-particle-induced soft errors in dynamic memories , 1979, IEEE Transactions on Electron Devices.
[40] Sanjay Pant,et al. A self-tuning DVS processor using delay-error detection and correction , 2005, IEEE Journal of Solid-State Circuits.