Using content-aware bitcells to reduce static energy dissipation

Static energy dissipation is an increasing problem in contemporary processor design with shrinking feature sizes. Many schemes are proposed to cope with leakage in the literature ranging from using sleep transistors to lowering supply voltage. In this paper, we introduce a Conscious SRAM (CSRAM) design to lower static energy dissipation in the storage components of a processor. The proposed bitcell design adapts the body bias of its own transistors according to its contents. We show that the use of the proposed CSRAM cells results in significant reduction in the static energy dissipation of on-chip storage components without significant performance degradation. In order to reduce the area overhead introduced by the CSRAM we propose a simplified version of the cell at the circuit level. We also leverage the fact that the contents of adjacent bits of the stored values are highly dependent on each other, especially on the upper order bits of a value, and propose some architectural level solutions that lower the area overhead to as low as 7%.

[1]  Erika Gunadi,et al.  Physical register inlining , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

[2]  Trevor Mudge,et al.  Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads , 2002, ICCAD 2002.

[3]  G. Ono,et al.  A 1000-MIPS/W microprocessor using speed adaptive threshold-voltage CMOS with forward bias , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[4]  Shekhar Y. Borkar,et al.  Design challenges of technology scaling , 1999, IEEE Micro.

[5]  Yiannakis Sazeides,et al.  Eliminating energy of same-content-cell-columns of on-chip SRAM arrays , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[6]  K. Zhang,et al.  Dual-V/sub T/ SRAM cells with full-swing single-ended bit line sensing for high-performance on-chip cache in 0.13 /spl mu/m technology generation , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).

[7]  Lawrence T. Pileggi,et al.  Integrating dynamic voltage/frequency scaling and adaptive body biasing using test-time voltage selection , 2009, ISLPED.

[8]  M. Kubo,et al.  A threshold voltage controlling circuit for short channel MOS integrated circuits , 1976, 1976 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[9]  T. Mudge,et al.  Drowsy caches: simple techniques for reducing leakage power , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.

[10]  Jeffrey Bokor,et al.  Dynamic threshold-voltage MOSFET (DTMOS) for ultra-low voltage VLSI , 1997 .

[11]  Chuang Zhang,et al.  An Adaptive Body-Bias Generator for Low Voltage CMOS VLSI Circuits , 2008, Int. J. Distributed Sens. Networks.

[12]  Eby G. Friedman,et al.  Domino logic with variable threshold voltage keeper , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[13]  Jabulani Nyathi,et al.  Logic Circuits Operating in Subthreshold Voltages , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

[14]  S. Samaan,et al.  A 0.18 /spl mu/m CMOS IA32 microprocessor with a 4 GHz integer execution unit , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[15]  T. Chen,et al.  Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[16]  Kanad Ghose,et al.  Register Packing: Exploiting Narrow-Width Operands for Reducing Register File Pressure , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).

[17]  Babak Falsafi,et al.  A case for asymmetric-cell cache memories , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[18]  Andreas Moshovos,et al.  Low-leakage asymmetric-cell SRAM , 2002, ISLPED '02.

[19]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[20]  Rajeev Balasubramonian,et al.  Reducing the complexity of the register file in dynamic superscalar processors , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.

[21]  David J. Sager,et al.  A 0 . 18-m CMOS IA-32 Processor With a 4-GHz Integer Execution Unit , 2001 .