Challenges in LER/CDU metrology in DSA: placement error and cross-line correlations

DSA lithography poses new challenges in LER/LWR metrology due to its self-organized and pitch-based nature. To cope with these challenges, a novel characterization approach with new metrics and updating the older ones is required. To this end, we focus on two specific challenges of DSA line patterns: a) the large correlations between the left and right edges of a line (line wiggling, rms(LWR)<rms(LER)) and b) the cross-line correlations, i.e. the resemblance of wiggling fluctuations of nearby lines. The first is quantified by the Line Center Roughness whose low-frequency part is related to the local placement errors of device structures. For the second, we propose the c-factor correlation function which quantifies the strength of the correlations between lines versus their horizontal distance in pitches. Also, we define roughness and uniformity parameters for the pitch changes along and across lines. The proposed characterization approach is applied to the analysis of line/space patterns obtained with the Liu-Nealey (LiNe) flow (post PMMA removal and pattern transfer) revealing the effects of pattern transfer on roughness and uniformity. Finally, we calculate the cfactor function of various Next-Generation Lithography techniques and reveal their distinct footprint on the extent of cross-line correlations.

[1]  Gian Francesco Lorusso,et al.  Line width roughness accuracy analysis during pattern transfer in self-aligned quadruple patterning process , 2016, SPIE Advanced Lithography.

[2]  Richard A. Lawson,et al.  Simulation study of the effect of molar mass dispersity on domain interfacial roughness in lamellae forming block copolymers for directed self-assembly , 2015, Nanotechnology.

[3]  Evangelos Gogolides,et al.  Characterization and modeling of line width roughness (LWR) , 2005, SPIE Advanced Lithography.

[4]  Atsuko Yamaguchi,et al.  Metrology of LER: influence of line-edge roughness (LER) on transistor performance , 2004, SPIE Advanced Lithography.

[5]  Gregg M. Gallatin,et al.  Modeling Line Edge Roughness in Templated, Lamellar Block Copolymer Systems , 2012 .

[6]  Roel Gronheid,et al.  Grazing-incidence small angle x-ray scattering studies of nanoscale polymer gratings , 2015, Advanced Lithography.

[7]  Lieve Van Look,et al.  Defect reduction and defect stability in IMEC's 14nm half-pitch chemo-epitaxy DSA flow , 2014, Advanced Lithography.

[8]  Chris A. Mack Analytical expression for impact of linewidth roughness on critical dimension uniformity , 2014 .

[9]  E. Gogolides,et al.  Line edge roughness and critical dimension variation: Fractal characterization and comparison using model functions , 2004 .

[10]  Juan J. de Pablo,et al.  Remediation of Line Edge Roughness in Chemical Nanopatterns by the Directed Assembly of Overlying Block Copolymer Films , 2010 .

[12]  D. Makarov,et al.  Bit-Patterned Magnetic Recording , 2016 .