Designing Approximate Computing Circuits with Scalable and Systematic Data-Driven Techniques

Semiconductor feature size has been shrinking significantly in the past decades. This decreasing trend of feature size leads to faster processing speed as well as lower area and power consumption. Among these attributes, power consumption has emerged as the primary concern in the design of integrated circuits in recent years due to the rapid increasing demand of energy efficient Internet of Things (IoT) devices. As a result, low power design approaches for digital circuits have become of great attractive in the past few years. To this end, approximate computing in hardware design has emerged as a promising design technique. It provides design opportunities to improve timing and energy efficiency by relaxing computing quality. This technique is feasible because of the error-resiliency of many emerging resource-hungry computational applications such as multimedia processing and machine learning. Thus, it is reasonable to utilize this characteristic to trade an acceptable amount of computing quality for energy saving. In the literature, most prior works on approximate circuit design focus on using manual design strategies to redesign fundamental computational blocks such as adders and multipliers. However, the manual design techniques are not suitable for system level hardware due to much higher design complexity. In order to tackle this challenge, we focus on designing scalable, systematic and general design methodologies that are applicable on any circuits. In this paper, we present two novel approximate circuit design methods based on machine learning techniques. Both methods skip the complicated manual analysis steps and primarily look at the given input-error pattern to generate approximate circuits. Our first work presents a framework for designing compensation block, an essential component in many approximate circuits, based on feature selection. Our second work further extends and optimizes this framework and integrates data-driven consideration into the design. Several case studies on fixed-width multipliers and other approximate circuits are presented to demonstrate the effectiveness of the proposed design methods. The experimental results show that both of the proposed methods are able to automatically and efficiently design low-error approximate circuits.

[1]  Bartosz Krawczyk,et al.  Learning from imbalanced data: open challenges and future directions , 2016, Progress in Artificial Intelligence.

[2]  Yang Liu,et al.  Low Power Trellis Decoder with Overscaled Supply Voltage , 2006, 2006 IEEE Workshop on Signal Processing Systems Design and Implementation.

[3]  Kazuyuki Murase,et al.  A new wrapper feature selection approach using neural network , 2010, Neurocomputing.

[4]  Sparsh Mittal,et al.  A Survey of Techniques for Approximate Computing , 2016, ACM Comput. Surv..

[5]  Muhammad Shafique,et al.  Statistical error analysis for low power approximate adders , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

[6]  Ihab F. Ilyas,et al.  Data Cleaning: Overview and Emerging Challenges , 2016, SIGMOD Conference.

[7]  Derek Rayside,et al.  Comparison of exact and approximate multi-objective optimization for software product lines , 2014, SPLC.

[8]  Yajuan He,et al.  A Low-Error Energy-Efficient Fixed-Width Booth Multiplier With Sign-Digit-Based Conditional Probability Estimation , 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

[9]  Qiang Xu,et al.  Approximate Computing: A Survey , 2016, IEEE Design & Test.

[10]  Ku He,et al.  Circuit-Level Timing-Error Acceptance for Design of Energy-Efficient DCT/IDCT-Based Systems , 2013, IEEE Transactions on Circuits and Systems for Video Technology.

[11]  Bo Zhang,et al.  Optimal Slope Ranking: An Approximate Computing Approach for Circuit Pruning , 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

[12]  Sherief Reda,et al.  DRUM: A Dynamic Range Unbiased Multiplier for approximate applications , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[13]  Ameet Talwalkar,et al.  Foundations of Machine Learning , 2012, Adaptive computation and machine learning.

[14]  Kaushik Roy,et al.  MACACO: Modeling and analysis of circuits for approximate computing , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[15]  Radford M. Neal Pattern Recognition and Machine Learning , 2007, Technometrics.

[16]  R. Talluri,et al.  Error-resilient video coding in the ISO MPEG-4 standard , 1998, IEEE Commun. Mag..

[17]  E. J. King,et al.  Data-dependent truncation scheme for parallel multipliers , 1997, Conference Record of the Thirty-First Asilomar Conference on Signals, Systems and Computers (Cat. No.97CB36136).

[18]  Muhammad Shafique,et al.  Probabilistic Error Modeling for Approximate Adders , 2017, IEEE Transactions on Computers.

[19]  D. Duttweiler Adaptive filter performance with nonlinearities in the correlation multiplier , 1982 .

[20]  Lloyd A. Smith,et al.  Feature Selection for Machine Learning: Comparing a Correlation-Based Filter Approach to the Wrapper , 1999, FLAIRS.

[21]  Fabrizio Lombardi,et al.  An Analytical Framework for Evaluating the Error Characteristics of Approximate Adders , 2015, IEEE Transactions on Computers.

[22]  Kaushik Roy,et al.  ASLAN: Synthesis of approximate sequential circuits , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[23]  Lingamneni Avinash,et al.  Synthesizing Parsimonious Inexact Circuits through Probabilistic Design Techniques , 2013, TECS.

[24]  Kia Bazargan,et al.  Axilog: Language support for approximate hardware design , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[25]  Sandeep K. Gupta,et al.  Approximate logic synthesis for error tolerant applications , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[26]  Christian C. Enz,et al.  Energy-efficient digital design through inexact and approximate arithmetic circuits , 2015, 2015 IEEE 13th International New Circuits and Systems Conference (NEWCAS).

[27]  Kaushik Roy,et al.  Design of voltage-scalable meta-functions for approximate computing , 2011, 2011 Design, Automation & Test in Europe.

[28]  Massoud Motamedi,et al.  A novel peak-hopping stepwise feature selection method with application to Raman spectroscopy , 1999 .

[29]  Tak-Chung Fu,et al.  A review on time series data mining , 2011, Eng. Appl. Artif. Intell..

[30]  Naresh R. Shanbhag,et al.  Energy-efficient soft error-tolerant digital signal processing , 2003, The Thrity-Seventh Asilomar Conference on Signals, Systems & Computers, 2003.

[31]  Mehdi Kamal,et al.  RAP-CLA: A Reconfigurable Approximate Carry Look-Ahead Adder , 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

[32]  Muhammad Shafique,et al.  QuAd: Design and analysis of Quality-area optimal Low-Latency approximate Adders , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

[33]  Andreas Antoniou,et al.  Area-efficient multipliers for digital signal processing applications , 1996 .

[34]  Weikang Qian,et al.  A new approximate adder with low relative error and correct sign calculation , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[35]  Fabrizio Lombardi,et al.  A low-power, high-performance approximate multiplier with configurable partial error recovery , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[36]  Huan Liu,et al.  A Probabilistic Approach to Feature Selection - A Filter Solution , 1996, ICML.

[37]  M. McHugh,et al.  The Chi-square test of independence , 2013, Biochemia medica.

[38]  G.E. Moore,et al.  Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.

[39]  Fabrizio Lombardi,et al.  A Comparative Review and Evaluation of Approximate Adders , 2015, ACM Great Lakes Symposium on VLSI.

[40]  Fabrizio Lombardi,et al.  Transmission gate-based approximate adders for inexact computing , 2015, Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH´15).

[41]  Christian C. Enz,et al.  A low-power carry cut-back approximate adder with fixed-point implementation and floating-point precision , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[42]  Sy-Yen Kuo,et al.  Adaptive Low-Error Fixed-Width Booth Multipliers , 2007, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

[43]  Fabrizio Lombardi,et al.  Approximate compressors for error-resilient multiplier design , 2015, 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS).

[44]  Anil K. Jain,et al.  Feature Selection: Evaluation, Application, and Small Sample Performance , 1997, IEEE Trans. Pattern Anal. Mach. Intell..

[45]  Huan Liu,et al.  Embedded Unsupervised Feature Selection , 2015, AAAI.

[46]  Fabrizio Lombardi,et al.  Design of Approximate Radix-4 Booth Multipliers for Error-Tolerant Computing , 2017, IEEE Transactions on Computers.

[47]  Gilles Louppe,et al.  Independent consultant , 2013 .

[48]  Caro Lucas,et al.  Bio-Inspired Imprecise Computational Blocks for Efficient VLSI Implementation of Soft-Computing Applications , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

[49]  Keshab K. Parhi,et al.  Design of low-error fixed-width modified booth multiplier , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[50]  Daphne Koller,et al.  Toward Optimal Feature Selection , 1996, ICML.

[51]  Ihab F. Ilyas,et al.  Trends in Cleaning Relational Data: Consistency and Deduplication , 2015, Found. Trends Databases.

[52]  Zexuan Zhu,et al.  Wrapper–Filter Feature Selection Algorithm Using a Memetic Framework , 2007, IEEE Transactions on Systems, Man, and Cybernetics, Part B (Cybernetics).

[53]  Huan Liu,et al.  Feature Selection for High-Dimensional Data: A Fast Correlation-Based Filter Solution , 2003, ICML.

[54]  Shyh-Jye Jou,et al.  High-Accuracy Fixed-Width Booth Multipliers Based on Probability and Simulation , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

[55]  Kiamal Z. Pekmestzi,et al.  Hybrid approximate multiplier architectures for improved power-accuracy trade-offs , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[56]  Krishna V. Palem,et al.  Automatic generation of inexact digital circuits by gate-level pruning , 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

[57]  Peng Li,et al.  Array-Based Approximate Arithmetic Computing: A General Model and Applications to Multiplier and Squarer Design , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

[58]  Sherief Reda,et al.  ABACUS: A technique for automated behavioral synthesis of approximate computing circuits , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[59]  Christian C. Enz,et al.  Design of energy-efficient discrete cosine transform using pruned arithmetic circuits , 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

[60]  Pedro Larrañaga,et al.  A review of feature selection techniques in bioinformatics , 2007, Bioinform..

[61]  Taejoon Park,et al.  Energy-Efficient Approximate Multiplication for Digital Signal Processing and Classification Applications , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[62]  Ling Qiu,et al.  A Systematic Method for Approximate Circuit Design Using Feature Selection , 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

[63]  Puneet Gupta,et al.  Trading Accuracy for Power with an Underdesigned Multiplier Architecture , 2011, 2011 24th Internatioal Conference on VLSI Design.

[64]  Fabrizio Lombardi,et al.  Approximate XOR/XNOR-based adders for inexact computing , 2013, 2013 13th IEEE International Conference on Nanotechnology (IEEE-NANO 2013).

[65]  Jürgen Teich,et al.  A LUT-Based Approximate Adder , 2016, 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

[66]  Andreas Gerstlauer,et al.  Multi-level approximate logic synthesis under general error constraints , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[67]  Kaushik Roy,et al.  SALSA: Systematic logic synthesis of approximate circuits , 2012, DAC Design Automation Conference 2012.

[68]  Muhammad Shafique,et al.  A low latency generic accuracy configurable adder , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[69]  Iasonas Kokkinos,et al.  DeepLab: Semantic Image Segmentation with Deep Convolutional Nets, Atrous Convolution, and Fully Connected CRFs , 2016, IEEE Transactions on Pattern Analysis and Machine Intelligence.

[70]  Trevor Hastie,et al.  An Introduction to Statistical Learning , 2013, Springer Texts in Statistics.

[71]  Shiann-Rong Kuang,et al.  High-Accuracy Fixed-Width Modified Booth Multipliers for Lossy Applications , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[72]  Toshinori Sato,et al.  Low-Power and High-Speed Approximate Multiplier Design with a Tree Compressor , 2017, 2017 IEEE International Conference on Computer Design (ICCD).

[73]  Semeen Rehman,et al.  Architectural-space exploration of approximate multipliers , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[74]  Yang Liu,et al.  Computation Error Analysis in Digital Signal Processing Systems With Overscaled Supply Voltage , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[75]  Izzat Darwazeh,et al.  Circuit-Level Timing Error Tolerance for Low-Power DSP Filters and Transforms , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[76]  Puneet Gupta,et al.  Trading Accuracy for Power in a Multiplier Architecture , 2011, J. Low Power Electron..

[77]  Massimo Alioto,et al.  Ultra-Low Power VLSI Circuit Design Demystified and Explained: A Tutorial , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[78]  Erhard Rahm,et al.  Data Cleaning: Problems and Current Approaches , 2000, IEEE Data Eng. Bull..

[79]  Naresh R. Shanbhag,et al.  Energy-efficient signal processing via algorithmic noise-tolerance , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[80]  Kaushik Roy,et al.  Low-Power Digital Signal Processing Using Approximate Adders , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[81]  Kiamal Z. Pekmestzi,et al.  Approximate Multiplier Architectures Through Partial Product Perforation: Power-Area Tradeoffs Analysis , 2015, ACM Great Lakes Symposium on VLSI.

[82]  Tsin-Yuan Chang,et al.  A High-Accuracy Adaptive Conditional-Probability Estimator for Fixed-Width Booth Multipliers , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[83]  Aggelos K. Katsaggelos,et al.  Error resilient video coding techniques , 2000, IEEE Signal Process. Mag..

[84]  Philip S. Yu,et al.  Mining Frequent Patterns in Data Streams at Multiple Time Granularities , 2002 .

[85]  Jane Labadin,et al.  Feature selection based on mutual information , 2015, 2015 9th International Conference on IT in Asia (CITA).

[86]  Andrew B. Kahng,et al.  Accuracy-configurable adder for approximate arithmetic designs , 2012, DAC Design Automation Conference 2012.

[87]  Yin-Tsung Hwang,et al.  A Novel High-Speed and Energy Efficient 10-Transistor Full Adder Design , 2007, IEEE Transactions on Circuits and Systems I: Regular Papers.

[88]  Duncan Fyfe Gillies,et al.  A Review of Feature Selection and Feature Extraction Methods Applied on Microarray Data , 2015, Adv. Bioinformatics.