A High-Speed Robust NVM-TCAM Design Using Body Bias Feedback
暂无分享,去创建一个
Weisheng Zhao | Jianlei Yang | Bonan Yan | Zheng Li | Yaojun Zhang | Pierre Chor-Fung Chia | Hai Li | Hai Helen Li | Weisheng Zhao | P. Chia | Jianlei Yang | Yaojun Zhang | Zheng Li | Bonan Yan
[1] Yiran Chen,et al. STT-RAM cell design optimization for persistent and non-persistent error rate reduction: A statistical design view , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[2] Yiran Chen,et al. Probabilistic design in spintronic memory and logic circuit , 2012, 17th Asia and South Pacific Design Automation Conference.
[3] T. Andre,et al. Device performance in a fully functional 800MHz DDR3 spin torque magnetic random access memory , 2013, 2013 5th IEEE International Memory Workshop.
[4] Naoya Onizawa,et al. Design of a soft-error tolerant 9-transistor/6-magnetic-tunnel-junction hybrid cell based nonvolatile TCAM , 2014, 2014 IEEE 12th International New Circuits and Systems Conference (NEWCAS).
[5] K. Pagiamtzis,et al. Content-addressable memory (CAM) circuits and architectures: a tutorial and survey , 2006, IEEE Journal of Solid-State Circuits.
[6] Yiran Chen,et al. Design of Spin-Torque Transfer Magnetoresistive RAM and CAM/TCAM with High Sensing and Search Speed , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[7] Yoshio Nishi,et al. Advances in Non-volatile Memory and Storage Technology , 2014 .
[8] Naoya Onizawa,et al. A Compact Soft-Error Tolerant Asynchronous TCAM Based on a Transistor/Magnetic-Tunnel-Junction Hybrid Dual-Rail Word Structure , 2014, 2014 20th IEEE International Symposium on Asynchronous Circuits and Systems.
[9] Tetsuo Endoh,et al. Fully parallel 6T-2MTJ nonvolatile TCAM with single-transistor-based self match-line discharge control , 2011, 2011 Symposium on VLSI Circuits - Digest of Technical Papers.
[10] Yiran Chen,et al. Performance, Power, and Reliability Tradeoffs of STT-RAM Cell Subject to Architecture-Level Requirement , 2011, IEEE Transactions on Magnetics.
[11] Yu Cao,et al. Predictive Technology Model for Nano-CMOS Design Exploration , 2006, Nano-Net.
[12] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[13] Zahid Ullah,et al. E-TCAM: An Efficient SRAM-Based Architecture for TCAM , 2014, Circuits Syst. Signal Process..
[14] Weisheng Zhao,et al. Compact Modeling of Perpendicular-Anisotropy CoFeB/MgO Magnetic Tunnel Junctions , 2012, IEEE Transactions on Electron Devices.
[15] T. Sugii,et al. A highly scalable STT-MRAM fabricated by a novel technique for shrinking a magnetic tunnel junction with reducing processing damage , 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.