VLIW instruction scheduling for minimal power variation
暂无分享,去创建一个
[1] Vinod Kathail,et al. Compiling for EPIC architectures , 2001 .
[2] Guang R. Gao,et al. On achieving balanced power consumption in software pipelined loops , 2002, CASES '02.
[3] Sharad Malik,et al. Power analysis of embedded software: a first step towards software power minimization , 1994, ICCAD.
[4] Margaret Martonosi,et al. Runtime power monitoring in high-end processors: methodology and empirical data , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[5] Vivek Tiwari,et al. Microarchitectural simulation and control of di/dt-induced power supply voltage variation , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.
[6] Margaret Martonosi,et al. Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data , 2003, MICRO.
[7] Sharad Malik,et al. Power analysis of embedded software: a first step towards software power minimization , 1994, IEEE Trans. Very Large Scale Integr. Syst..
[8] Rainer Leupers,et al. Time-constrained code compaction for DSPs , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[9] Margaret Martonosi,et al. Control techniques to eliminate voltage emergencies in high performance processors , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[10] T. N. Vijaykumar,et al. Exploiting resonant behavior to reduce inductive noise , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[11] Margaret Martonosi,et al. Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[12] David H. Albonesi,et al. Mitigating inductive noise in SMT processors , 2004 .
[13] Paolo Faraboschi,et al. Instruction scheduling for instruction level parallel processors , 2001, Proc. IEEE.
[14] Junqiang Sun,et al. Tms320c6000 cpu and instruction set reference guide , 2000 .
[15] Vittorio Zaccaria,et al. Energy estimation and optimization of embedded VLIW processors based on instruction clustering , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).
[16] Kent Wilken,et al. Optimal instruction scheduling using integer programming , 2000, PLDI.
[17] Vittorio Zaccaria,et al. Power exploration for embedded VLIW architectures , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[18] Vivek Tiwari,et al. An architectural solution for the inductive noise problem due to clock-gating , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[19] Luca Benini,et al. A Framework for Modeling and Estimating the Energy Dissipation of VLIW-Based Embedded Systems , 2002, Des. Autom. Embed. Syst..
[20] Jihong Kim,et al. Power-aware modulo scheduling for high-performance VLIW processors , 2001, ISLPED '01.
[21] Jeffry T. Russell,et al. Software power estimation and optimization for high performance, 32-bit embedded processors , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[22] Eric Senn,et al. Power Consumption Modeling and Characterization of the TI C6201 , 2003, IEEE Micro.
[23] Catherine H. Gebotys,et al. Current consumption dynamics at instruction and program level for a VLIW DSP processor , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).
[24] Chung-Ta King,et al. Using integer linear programming for instruction scheduling and register allocation in multi-issue processors , 1997 .
[25] Scott A. Mahlke,et al. Trimaran: An Infrastructure for Research in Instruction-Level Parallelism , 2004, LCPC.
[26] D. Albonesi,et al. Mitigating inductive noise in SMT processors , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[27] David M. Brooks,et al. Eliminating voltage emergencies via microarchitectural voltage control feedback and dynamic optimization , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[28] Melvin A. Breuer,et al. Analysis of ground bounce in deep sub-micron circuits , 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).
[29] Vittorio Zaccaria,et al. Power Estimation and Optimization Methodologies for VLIW-Based Embedded Systems , 2003, Springer US.
[30] W. Robert Daasch,et al. TEM2P2EST: A Thermal Enabled Multi-model Power/Performance ESTimator , 2000, PACS.
[31] Massoud Pedram,et al. Battery-powered digital CMOS design , 1999, DATE '99.
[32] Vivek Tiwari,et al. Inductive noise reduction at the architectural level , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.
[33] T. N. Vijaykumar,et al. Pipeline damping: a microarchitectural technique to reduce inductive noise in supply voltage , 2003, ISCA '03.
[34] Miodrag Potkonjak,et al. MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.
[35] Ken Kennedy,et al. Optimizing Compilers for Modern Architectures: A Dependence-based Approach , 2001 .
[36] Rainer Leupers,et al. Time-constrained code compaction for DSPs , 1995 .
[37] Larry D. Smith,et al. Power distribution system design methodology and capacitor selection for modern CMOS technology , 1999 .
[38] William J. Bowhill,et al. Design of High-Performance Microprocessor Circuits , 2001 .
[39] Vittorio Zaccaria,et al. An instruction-level energy model for embedded VLIW architectures , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..