Small Delay Fault Simulation for Sequential Circuits

Small-delay faults may escape detection by transition fault patterns, but traditional transition fault simulator can not detect this phenomenon. A fault simulator detecting test escape of small-delay faults is presented. The sizes of the faults are less than one system clock cycle. For our method, the delay distribution in the CUT is considered, and the fault size is quantized as times of the propagation delay in an inverter. By a waveform simulation based on Boolean process, the simulator is able to show the time interval that the fault affects and determine whether the propagation delay exceeds the system clock cycle. It might give ATPG a little of useful information.

[1]  M. Ray Mercer,et al.  An efficient delay test generation system for combinational logic circuits , 1991, DAC '90.

[2]  Phil Nigh,et al.  Test Method Evaluation Experiments & Data , 2000 .

[3]  David Bryan,et al.  Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.

[4]  Eric Lindbloom,et al.  Transition Fault Simulation , 1987, IEEE Design & Test of Computers.

[5]  Bernd Becker,et al.  A Simulator of Small-Delay Faults Caused by Resistive-Open Defects , 2008, 2008 13th European Test Symposium.

[6]  Yinghua Min,et al.  Boolean process , 1997 .

[7]  Kwang-Ting Cheng Transition fault testing for sequential circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  Phil Nigh,et al.  Test method evaluation experiments and data , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[9]  Y. Sato,et al.  Evaluation of the statistical delay quality model , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[10]  Irith Pomeranz,et al.  On generating high quality tests for transition faults , 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..

[11]  Haihua Yan,et al.  On the effectiveness of detecting small delay defects in the slack interval , 2004, Proceedings. 2004 IEEE International Workshop on Current and Defect Based Testing (IEEE Cat. No.04EX1004).

[12]  M. Ray Mercer,et al.  An efficient delay test generation system for combinational logic circuits , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[13]  Mark Mohammad Tehranipoor,et al.  Timing-based delay test for screening small delay defects , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[14]  Michael S. Hsiao,et al.  Sequential circuit test generation using dynamic state traversal , 1997, Proceedings European Design and Test Conference. ED & TC 97.