Performance evaluation and design tradeoffs of on-chip interconnect architectures

Network-on-Chip (NoC) has been proposed as an alternative to bus-based schemes to achieve high performance and scalability in System-on-Chip (SoC) design. Performance analysis and evaluation of on-chip interconnect architectures are widely based on simulations, which become computationally expensive, especially for large-scale NoCs. In this paper, a Network Calculusbased methodology is presented to analyze and evaluate the performance and cost metrics, such as latency and energy consumption. The 2D Mesh, Spidergong, and WK-recursive on-chip interconnect architectures are analyzed using this methodology and results are compared with those produced using simulations. The values obtained by simulations and by analysis show similar trends in the same order of magnitude. Furthermore, WK outperforms the other on-chip interconnects in all considered metrics.

[1]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[2]  Jörg Henkel,et al.  A design methodology for application-specific networks-on-chip , 2006, TECS.

[3]  Sebastien Lagrange,et al.  Optimal routing for end-to-end guarantees using Network Calculus , 2008, Perform. Evaluation.

[4]  Partha Pratim Pande,et al.  Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.

[5]  Tarek A. El-Ghazawi,et al.  An interconnection architecture for network-on-chip systems , 2008, Telecommun. Syst..

[6]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[7]  Nicola Concer,et al.  Simulation and analysis of network on chip architectures: ring, spidergon and 2D mesh , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[8]  Rene L. Cruz,et al.  A calculus for network delay, Part II: Network analysis , 1991, IEEE Trans. Inf. Theory.

[9]  Radu Marculescu,et al.  Traffic analysis for on-chip networks design of multimedia applications , 2002, DAC '02.

[10]  Axel Jantsch,et al.  Simulation and Evaluation of a Network on Chip Architecture Using Ns-2 , 2002 .

[11]  Radu Marculescu,et al.  The Chip Is the Network: Toward a Science of Network-on-Chip Design , 2009, Found. Trends Electron. Des. Autom..

[12]  Axel Jantsch,et al.  A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

[13]  Chita R. Das,et al.  Design and analysis of an NoC architecture from performance, reliability and energy perspective , 2005, 2005 Symposium on Architectures for Networking and Communications Systems (ANCS).

[14]  G. G. Stokes "J." , 1890, The New Yale Book of Quotations.

[15]  Sujit Dey,et al.  An Interconnect Architecture for Networking Systems on Chips , 2002, IEEE Micro.

[16]  Tarek El-Ghazawi,et al.  Simulation and Evaluation of On-Chip Interconnect Architectures: 2D Mesh, Spidergon, and WK-Recursive Network , 2008 .

[17]  Wim Vanderbauwhede,et al.  An Analytical Performance Model for the Spidergon NoC , 2007, 21st International Conference on Advanced Information Networking and Applications (AINA '07).

[18]  Jean-Yves Le Boudec,et al.  Network Calculus: A Theory of Deterministic Queuing Systems for the Internet , 2001 .

[19]  Sujit Dey,et al.  Evaluation of the traffic-performance characteristics of system-on-chip communication architectures , 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.

[20]  Srinivasan Murali,et al.  A buffer-sizing algorithm for networks on chip using TDMA and credit-based end-to-end flow control , 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).

[21]  Eric Rondeau,et al.  Evaluation of switched Ethernet in an industrial context by using the Network Calculus , 2002, 4th IEEE International Workshop on Factory Communication Systems.

[22]  Radu Marculescu,et al.  Analytical router modeling for networks-on-chip performance analysis , 2007 .

[23]  P.T. Wolkotte,et al.  Energy Model of Networks-on-Chip and a Bus , 2005, 2005 International Symposium on System-on-Chip.

[24]  T. El-Ghazawi,et al.  Simulation-Based Approach for Evaluating On-Chip Interconnect Architectures , 2008, 2008 4th Southern Conference on Programmable Logic.

[25]  M. Coppola,et al.  Spidergon: a novel on-chip communication network , 2004, 2004 International Symposium on System-on-Chip, 2004. Proceedings..

[26]  Utz Roedig,et al.  Sensor Network Calculus with Multiple Sinks , 2006 .

[27]  Kees Goossens,et al.  Applying Dataflow Analysis to Dimension Buffers for Guaranteed Performance in Networks on Chip , 2008 .

[28]  Gang Qu,et al.  A Mesh-of-Trees Interconnection Network for Single-Chip Parallel Processing , 2006, IEEE 17th International Conference on Application-specific Systems, Architectures and Processors (ASAP'06).

[29]  Tarek A. El-Ghazawi,et al.  Analytical performance comparison of 2D Mesh, WK-recursive, and Spidergon NoCs , 2010, 2010 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum (IPDPSW).

[30]  Tarek A. El-Ghazawi,et al.  Analytical modeling and evaluation of On-Chip Interconnects using Network Calculus , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[31]  Ljupco Kocarev,et al.  A ns-2 simulator utilizing chaotic maps for network-on-chip traffic analysis , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[32]  Radu Marculescu,et al.  Quantum-Like Effects in Network-on-Chip Buffers Behavior , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[33]  Tarek A. El-Ghazawi,et al.  Analytical modeling and evaluation of network-on-chip architectures , 2010, 2010 International Conference on High Performance Computing & Simulation.

[34]  Mohamed Bakhouya Evaluating the energy consumption and the silicon area of on-chip interconnect architectures , 2009, J. Syst. Archit..

[35]  Radu Marculescu,et al.  Key research problems in NoC design: a holistic perspective , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

[36]  Don Towsley,et al.  Theories and models for Internet quality of service , 2002, Proc. IEEE.

[37]  Alain Greiner,et al.  A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.

[38]  Anujan Varma,et al.  Latency-rate servers: a general model for analysis of traffic scheduling algorithms , 1996, Proceedings of IEEE INFOCOM '96. Conference on Computer Communications.