A polynomial time triple patterning algorithm for cell based row-structure layout

As minimum feature size keeps shrinking, and the next generation lithography (e.g, EUV) further delays, double patterning lithography (DPL) has been widely recognized as a feasible lithography solution in 20nm technology node. However, as technology continues to scale to 14/10nm, DPL begins to show its limitations and usually generates too many undesirable stitches. Triple patterning lithography (TPL) is a natural extension of DPL to conquer the difficulties and achieve a stitch-free layout decomposition. In this paper, we study the standard cell based row-structure layout decomposition problem in TPL. Although the general TPL layout decomposition problem is NP-hard, in this paper we will show that for standard cell based TPL layout decomposition problem, it is polynomial time solvable. We propose a polynomial time algorithm to solve the problem optimally and our approach has the capability to find all stitch-free decompositions. Color balancing is also considered to ensure a balanced triple patterning decomposition. To speed up the algorithm, we further propose a hierarchical algorithm for standard cell based layout, which can reduce the run time by 34.5% on average without sacrificing the optimality. We also extend our algorithm to allow stitches for complex circuit designs, and our algorithm guarantees to find optimal solutions with minimum number of stitches.

[1]  Andrew B. Kahng,et al.  Layout decomposition for double patterning lithography , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[2]  Andrew B. Kahng,et al.  Layout Decomposition Approaches for Double Patterning Lithography , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Jae-Seok Yang,et al.  Overlay aware interconnect and timing variation modeling for Double Patterning Technology , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[4]  Yao-Wen Chang,et al.  A novel layout decomposition algorithm for triple patterning lithography , 2012, DAC Design Automation Conference 2012.

[5]  Burn Jeng Lin Successors of ArF Water-Immersion Lithography: EUV Lithography, Multi-e-beam Maskless Lithography, or Nanoimprint? , 2008 .

[6]  Yuelin Du,et al.  Self-aligned double patterning decomposition for overlay minimization and hot spot detection , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[7]  Hongbo Zhang,et al.  Hot spot detection for indecomposable self-aligned double patterning layout , 2011, Photomask Technology.

[8]  David Z. Pan,et al.  Layout optimizations for double patterning lithography , 2009, 2009 IEEE 8th International Conference on ASIC.

[9]  Qiao Li,et al.  14nm M1 triple patterning , 2012, Advanced Lithography.

[10]  H. Levinson Extreme ultraviolet lithography’s path to manufacturing , 2009 .

[11]  Kun Yuan,et al.  Layout Decomposition for Triple Patterning Lithography , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.