Characterizing and evaluating voltage noise in multi-core near-threshold processors

Lowering the supply voltage to improve energy efficiency leads to higher load current and elevated supply sensitivity. In this paper, we provide the first quantitative analysis of voltage noise in multi-core near-threshold processors in a future 10nm technology across SPEC CPU2006 benchmarks. Our results reveal larger guardband requirement and significant energy efficiency loss due to power delivery nonidealities at near threshold, and highlight the importance of accurate voltage noise characterization for design exploration of energy-centric computing systems using near-threshold cores.

[1]  Hao Wang,et al.  Workload-aware voltage regulator optimization for power efficient multi-core processors , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[2]  Gu-Yeon Wei,et al.  XIOSim: power-performance modeling of mobile x86 cores , 2012, ISLPED '12.

[3]  Yu Cao,et al.  Exploring sub-20nm FinFET design with Predictive Technology Models , 2012, DAC Design Automation Conference 2012.

[4]  Josep Torrellas,et al.  VARIUS-NTV: A microarchitectural model to capture the increased sensitivity of manycores to process variations at near-threshold voltages , 2012, IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2012).

[5]  Lizy Kurian John,et al.  Automated di/dt stressmark generation for microprocessor power delivery networks , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[6]  David Blaauw,et al.  Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits , 2010, Proceedings of the IEEE.

[7]  David Blaauw,et al.  Process variation in near-threshold wide SIMD architectures , 2012, DAC Design Automation Conference 2012.

[8]  Amar Phanishayee,et al.  FAWN: a fast array of wimpy nodes , 2009, SOSP '09.

[9]  Sriram R. Vangal,et al.  A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.

[10]  Meeta Sharma Gupta,et al.  DeCoR: A Delayed Commit and Rollback mechanism for handling inductive noise in processors , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[11]  Eli Chiprout,et al.  A microarchitecture-based framework for pre- and post-silicon power delivery analysis , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[12]  Wei Huang,et al.  Some Limits of Power Delivery in the Multicore Era , 2012 .