Memory-Aware Optimization of Embedded Software for Multiple Objectives

[1]  Paul Lokuciejewski,et al.  A compiler framework for the reduction of worst-case execution times , 2010, Real-Time Systems.

[2]  Wei Zhang,et al.  Scratchpad Memory Architectures and Allocation Algorithms for Hard Real-Time Multicore Processors , 2015, J. Comput. Sci. Eng..

[3]  Björn Lisper,et al.  Data cache locking for higher program predictability , 2003, SIGMETRICS '03.

[4]  Björn Lisper,et al.  Data cache locking for tight timing calculations , 2007, TECS.

[5]  Yun Liang,et al.  WCET-centric partial instruction cache locking , 2012, DAC Design Automation Conference 2012.

[6]  Jason Cong,et al.  Designing scratchpad memory architecture with emerging STT-RAM memory technologies , 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

[7]  Peter Marwedel,et al.  Overlay techniques for scratchpad memories in low power embedded processors , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[8]  Hui Wu,et al.  Towards Data Tiling for Whole Programs in Scratchpad Memory Allocation , 2007, Asia-Pacific Computer Systems Architecture Conference.

[9]  Peter Marwedel,et al.  Operating system integrated energy aware scratchpad allocation strategies for multiprocess applications , 2007, SCOPES '07.

[10]  Peter Marwedel,et al.  Scratchpad memory: a design alternative for cache on-chip memory in embedded systems , 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).

[11]  Lothar Thiele,et al.  Mapping Applications to Tiled Multiprocessor Embedded Systems , 2007, Seventh International Conference on Application of Concurrency to System Design (ACSD 2007).

[12]  Michael Engel,et al.  Automatic Extraction of pipeline parallelism for embedded heterogeneous multi-core platforms , 2013, 2013 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).

[13]  Ulrich Drepper,et al.  What Every Programmer Should Know About Memory , 2007 .

[14]  Heiko Falk,et al.  Combined Data Partitioning and Loop Nest Splitting for Energy Consumption Minimization , 2004, SCOPES.

[15]  Peter Marwedel,et al.  Embedded system design , 2010, Embedded Systems.

[16]  Ting Chen,et al.  WCET centric data allocation to scratchpad memory , 2005, 26th IEEE International Real-Time Systems Symposium (RTSS'05).

[17]  Aviral Shrivastava,et al.  Heap data management for limited local memory (LLM) multi-core processors , 2010, 2010 IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[18]  Rajeev Barua,et al.  Dynamic allocation for scratch-pad memory using compile-time decisions , 2006, TECS.

[19]  Peter Marwedel,et al.  Assigning program and data objects to scratchpad for energy reduction , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[20]  Alexander G. Dean,et al.  Leveraging both Data Cache and Scratchpad Memory through Synergetic Data Allocation , 2012, 2012 IEEE 18th Real Time and Embedded Technology and Applications Symposium.

[21]  Paul Lokuciejewski,et al.  WCET-aware Software Based Cache Partitioning for Multi-Task Real-Time Systems , 2009, WCET.

[22]  Hongwei Zhu,et al.  Formal Model of Data Reuse Analysis for Hierarchical Memory Organizations , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[23]  Heiko Falk,et al.  Optimal static WCET-aware scratchpad allocation of program code , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[24]  Peter Marwedel,et al.  WCET-aware static locking of instruction caches , 2012, CGO '12.

[25]  Aviral Shrivastava,et al.  A software solution for dynamic stack management on scratch pad memory , 2009, 2009 Asia and South Pacific Design Automation Conference.

[26]  Shekhar Y. Borkar,et al.  Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.

[27]  Michael Engel,et al.  Improving the fault resilience of an H.264 decoder using static analysis methods , 2013, TECS.

[28]  Michael Engel,et al.  ILP-based Memory-Aware Mapping Optimization for MPSoCs , 2012, 2012 IEEE 15th International Conference on Computational Science and Engineering.

[29]  Joseph S. Sventek,et al.  Efficient dynamic heap allocation of scratch-pad memory , 2008, ISMM '08.

[30]  Martin Hofmann,et al.  Static prediction of heap space usage for first-order functional programs , 2003, POPL '03.

[31]  Wei Zhang,et al.  Hybrid SPM-cache architectures to achieve high time predictability and performance , 2013, 2013 IEEE 24th International Conference on Application-Specific Systems, Architectures and Processors.

[32]  Rajeev Barua,et al.  Heap data allocation to scratch-pad memory in embedded systems , 2005, J. Embed. Comput..

[33]  Chung-Ping Young,et al.  CASA: Contention-Aware Scratchpad Memory Allocation for Online Hybrid On-Chip Memory Management , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[34]  Michael Engel,et al.  Plasmon-based Virus Detection on Heterogeneous Embedded Systems , 2015, SCOPES.

[35]  Daniel Cordes,et al.  A Fast and Precise Static Loop Analysis Based on Abstract Interpretation, Program Slicing and Polytope Models , 2009, 2009 International Symposium on Code Generation and Optimization.

[36]  Heonshik Shin,et al.  Dynamic data scratchpad memory management for a memory subsystem with an MMU , 2007, LCTES '07.

[37]  G. Amdhal,et al.  Validity of the single processor approach to achieving large scale computing capabilities , 1967, AFIPS '67 (Spring).

[38]  Zhu Wang,et al.  Endurance-Aware Allocation of Data Variables on NVM-Based Scratchpad Memory in Real-Time Embedded Systems , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.