Thermal analysis and model identification techniques for a logic + WIDEIO stacked DRAM test chip

High temperature is one of the limiting factors and major concerns in 3D-chip integration. In this paper we use a 3D test chip (WIDEIO DRAM on top of a logic die) equipped with temperature sensors and heaters to explore thermal effects. We correlated real temperature measurements with the power dissipated by the heaters using model learning techniques. The resulting compact thermal model is able to predict temperatures at chip locations far from the temperature sensors and to infer the power dissipation at any location of the chip. Results are verified by mean of an off-sample validation technique and show a high accuracy of the compact thermal model when compared with silicon measurements.

[1]  Arvind Kumar,et al.  Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..

[2]  Kevin Skadron,et al.  HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Sherief Reda,et al.  Post-silicon power characterization using thermal infrared emissions , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

[4]  Sherief Reda,et al.  Spectral techniques for high-resolution thermal characterization with limited sensor data , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[5]  M. Zahran,et al.  Chip level thermal profile estimation using on-chip temperature sensors , 2008, 2008 IEEE International Conference on Computer Design.

[6]  Fabien Clermidy,et al.  3D Embedded multi-core: Some perspectives , 2011, 2011 Design, Automation & Test in Europe.

[7]  J. Meindl,et al.  A 3D-IC Technology with Integrated Microchannel Cooling , 2008, 2008 International Interconnect Technology Conference.

[8]  Kevin Skadron,et al.  Temperature-to-power mapping , 2010, 2010 IEEE International Conference on Computer Design.

[9]  E. Beyne,et al.  Compact thermal modeling of hot spots in advanced 3D-stacked ICs , 2009, 2009 11th Electronics Packaging Technology Conference.

[10]  Sandip Kundu,et al.  Reducing Temperature Variation in 3D Integrated Circuits Using Heat Pipes , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

[11]  Luca Benini,et al.  Static Thermal Model Learning for High-Performance Multicore Servers , 2011, 2011 Proceedings of 20th International Conference on Computer Communications and Networks (ICCCN).

[12]  E. Beyne,et al.  Steady state and transient thermal analysis of hot spots in 3D stacked ICs using dedicated test chips , 2011, 2011 27th Annual IEEE Semiconductor Thermal Measurement and Management Symposium.

[13]  E. Beyne,et al.  The rise of the 3rd dimension for system intergration , 2006, 2006 International Interconnect Technology Conference.

[14]  José Ignacio Hidalgo,et al.  Fast and scalable temperature-driven floorplan design in 3D MPSoCs , 2012, 2012 13th Latin American Test Workshop (LATW).

[15]  Rahul Khanna,et al.  RAPL: Memory power estimation and capping , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

[16]  Luca Benini,et al.  An energy efficient DRAM subsystem for 3D integrated SoCs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[17]  Christian Bernard,et al.  A 0.9 pJ/bit, 12.8 GByte/s WideIO memory interface in a 3D-IC NoC-based MPSoC , 2013, 2013 Symposium on VLSI Technology.

[18]  J. A. Burns TSV-Based 3D Integration , 2011 .

[19]  David Atienza,et al.  GreenCool: An Energy-Efficient Liquid Cooling Design Technique for 3-D MPSoCs Via Channel Width Modulation , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[20]  Timothy Mattson,et al.  A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).