The Dynamic Random Access Memory Challenge in Embedded Computing Systems
暂无分享,去创建一个
[1] Luca Benini,et al. Optimized active and power-down mode refresh control in 3D-DRAMs , 2014, 2014 22nd International Conference on Very Large Scale Integration (VLSI-SoC).
[2] Bruce Jacob,et al. DRAM Refresh Mechanisms, Penalties, and Trade-Offs , 2016, IEEE Transactions on Computers.
[3] Kees Goossens,et al. Memory Controllers for Mixed-Time-Criticality Systems: Architectures, Methodologies and Trade-offs , 2016 .
[4] ShalfJohn,et al. Memory Errors in Modern Systems , 2015 .
[5] Sally A. McKee,et al. Hitting the memory wall: implications of the obvious , 1995, CARN.
[6] BhatiIshwar,et al. DRAM Refresh Mechanisms, Penalties, and Trade-Offs , 2016 .
[7] T. Schloesser,et al. 6F2 buried wordline DRAM cell for 40nm and beyond , 2008, 2008 IEEE International Electron Devices Meeting.
[8] Jonghyuk Kim,et al. 23.2 A 5Gb/s/pin 8Gb LPDDR4X SDRAM with power-isolated LVSTL and split-die architecture with 2-die ZQ calibration scheme , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).
[9] John Shalf,et al. Memory Errors in Modern Systems: The Good, The Bad, and The Ugly , 2015, ASPLOS.
[10] Norbert Wehn,et al. Efficient reliability management in SoCs - an approximate DRAM perspective , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
[11] Chris Fallin,et al. Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
[12] Norbert Wehn,et al. Invited: Approximate computing with partially unreliable dynamic random access memory — Approximate DRAM , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[13] Vilas Sridharan,et al. A study of DRAM failures in the field , 2012, 2012 International Conference for High Performance Computing, Networking, Storage and Analysis.
[14] Ankit Agrawal,et al. DRAM-related challenges in task scheduling with timing predictability on COTS multi-cores for safety-critical systems , 2017, MEMSYS.
[15] SchroederBianca,et al. DRAM errors in the wild , 2009 .
[16] Norbert Wehn,et al. Efficient coding scheme for DDR4 memory subsystems , 2018, MEMSYS.
[17] Norbert Wehn,et al. DRAM power management and energy consumption: a critical assessment , 2009, SBCCI.
[18] Yuval Yarom,et al. RAMBleed: Reading Bits in Memory Without Accessing Them , 2020, 2020 IEEE Symposium on Security and Privacy (SP).
[19] David A. Patterson,et al. Latency lags bandwith , 2004, CACM.
[20] Eduardo Pinheiro,et al. DRAM errors in the wild: a large-scale field study , 2009, SIGMETRICS '09.
[21] Satoru Yamada,et al. An Innovative Indicator to Evaluate DRAM Cell Transistor Leakage Current Distribution , 2018, IEEE Journal of the Electron Devices Society.
[22] Tieh-Chiang Wu,et al. Suppression of Row Hammer Effect by Doping Profile Modification in Saddle-Fin Array Devices for Sub-30-nm DRAM Technology , 2016, IEEE Transactions on Device and Materials Reliability.
[23] Norbert Wehn,et al. DRAMSys: A Flexible DRAM Subsystem Design Space Exploration Framework , 2015, IPSJ Trans. Syst. LSI Des. Methodol..
[24] Onur Mutlu,et al. The RowHammer problem and other issues we may face as memory becomes denser , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[25] Hector Gomez,et al. Mitigating Row Hammer attacks based on dummy cells in DRAM , 2017, 2017 IEEE International Conference on Consumer Electronics (ICCE).
[26] Memory Errors in Modern Systems: The Good, The Bad, and The Ugly , 2015, ASPLOS.
[27] Qiang Wu,et al. Revisiting Memory Errors in Large-Scale Production Data Centers: Analysis and Modeling of New Trends from the Field , 2015, 2015 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.
[28] Norbert Wehn,et al. Omitting Refresh: A Case Study for Commodity and Wide I/O DRAMs , 2015, MEMSYS.
[29] Bruce Jacob,et al. Buffer-on-board memory systems , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[30] Norbert Wehn,et al. A Bank-Wise DRAM Power Model for System Simulations , 2017, RAPIDO.
[31] David Atienza,et al. 3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[32] Norbert Wehn,et al. Retention time measurements and modelling of bit error rates of WIDE I/O DRAM in MPSoCs , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[33] Hiroyuki Tomiyama,et al. Proposal and Quantitative Analysis of the CHStone Benchmark Program Suite for Practical C-based High-level Synthesis , 2009, J. Inf. Process..
[34] N. Wehn,et al. Reverse Engineering of DRAMs: Row Hammer with Crosshair , 2016, MEMSYS.
[35] Norbert Wehn,et al. Driving into the memory wall: the role of memory for advanced driver assistance systems and autonomous driving , 2018, MEMSYS.
[36] Jie Han,et al. Approximate computing: An emerging paradigm for energy-efficient design , 2013, 2013 18th IEEE European Test Symposium (ETS).
[37] Norbert Wehn,et al. A Platform to Analyze DDR3 DRAM’s Power and Retention Time , 2017, IEEE Design & Test.
[38] Norbert Wehn,et al. Using run-time reverse-engineering to optimize DRAM refresh , 2017, MEMSYS.
[39] Norbert Wehn,et al. Improving the error behavior of DRAM by exploiting its Z-channel property , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[40] Norbert Wehn,et al. ConGen: An Application Specific DRAM Memory Controller Generator , 2016, MEMSYS.
[41] Richard Veras,et al. RAIDR: Retention-aware intelligent DRAM refresh , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[42] Hyun-Soo Park,et al. 23.4 An extremely low-standby-power 3.733Gb/s/pin 2Gb LPDDR4 SDRAM for wearable devices , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).