A New Technique for Leakage Reduction in DSM Technology

Leakage power is the major constant when scale down the technology in nanometer region. In this paper we propose a novel technique called LECTOR for designing CMOS gates which significantly cuts down the leakage current without increasing the dynamic power dissipation. In the proposal we use lector technique in different logics, we introduce two leakage control transistors (a p-type and a n-type) within the logic gate for which the gate terminal of each leakage control transistor (LCT) is controlled by the source of the other. In this arrangement, one of the LCTs is always “near its cut off voltage” for any input combination. This increases the resistance of the path from Vdd to ground, leading to significant decrease in leakage currents. Saving of power by proposed circuit is Basic Nand Gate 62.13%, Force Stack 91.11%, Sleep Transistor with Low Vth & High Vth 81.12% & 69.59%, with respect to sleepy Keeper 97.46% .

[1]  Shin'ichiro Mutoh,et al.  1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS , 1995, IEEE J. Solid State Circuits.

[2]  Mark C. Johnson,et al.  Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks , 1998, ISLPED '98.

[3]  Deep submicron microprocessor design issues , 1999, IEEE Micro.

[4]  Shekhar Y. Borkar,et al.  Design challenges of technology scaling , 1999, IEEE Micro.

[5]  Kaushik Roy,et al.  Low-Power CMOS VLSI Circuit Design , 2000 .

[6]  Kaushik Roy,et al.  Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories , 2000, ISLPED '00.

[7]  Shekhar Borkar,et al.  Obeying Moore's law beyond 0.18 micron [microprocessor design] , 2000, Proceedings of 13th Annual IEEE International ASIC/SOC Conference (Cat. No.00TH8541).

[8]  A. Chandrakasan,et al.  MTCMOS sequential circuits , 2001, Proceedings of the 27th European Solid-State Circuits Conference.

[9]  Avi Mendelson,et al.  Coming challenges in microarchitecture and architecture , 2001, Proc. IEEE.

[10]  Osamu Takahashi,et al.  High-speed, power-conscious circuit design techniques for high-performance computing , 2001, 2001 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers (Cat. No.01TH8517).

[11]  David Blaauw,et al.  Drowsy caches: simple techniques for reducing leakage power , 2002, ISCA.

[12]  M. Bohr Nanotechnology goals and challenges for electronic applications , 2002 .

[13]  C. H. Lim,et al.  Design of VLSI CMOS circuits under thermal constraint , 2002 .

[14]  Vikas Agarwal,et al.  Static energy reduction techniques for microprocessor caches , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[15]  H. Kawaguchi,et al.  Zigzag super cut-off CMOS (ZSCCMOS) block activation with self-adaptive voltage level controller: an alternative to clock-gating scheme in leakage dominant era , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[16]  Jun-Cheol Park,et al.  Sleepy Stack Reduction of Leakage Power , 2004, PATMOS.

[17]  Jun-Cheol Park,et al.  Sleepy Stack Leakage Reduction , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[18]  Kaushik Roy,et al.  Leakage Power Analysis and Reduction for Nanoscale Circuits , 2006, IEEE Micro.

[19]  Se Hun Kim,et al.  The Sleepy Keeper Approach: Methodology, Layout and Power Results for a 4-bit Adder , 2006 .

[20]  Andrew B. Kahng,et al.  Defocus-Aware Leakage Estimation and Control , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[21]  Paulo F. Butzen,et al.  Standby power consumption estimation by interacting leakage current mechanisms in nanoscaled CMOS digital circuits , 2010, Microelectron. J..