A Monolithic-3D SRAM Design with Enhanced Robustness and In-Memory Computation Support
暂无分享,去创建一个
Narayanan Vijaykrishnan | Meng-Fan Chang | Swaroop Ghosh | Xueqing Li | Jia-Min Shieh | Jack Sampson | Chih-Chao Yang | Wei-Hao Chen | Sumeet Kumar Gupta | Chang-Hong Shen | Fu-Kuo Hsueh | Srivatsa Rangachar Srinivasa | Akshay Krishna Ramanathan | J. Sampson | N. Vijaykrishnan | Wei-Hao Chen | Meng-Fan Chang | Xueqing Li | S. Gupta | C. Shen | J. Shieh | Chih-Chao Yang | Swaroop Ghosh | F. Hsueh | S. Srinivasa | A. Ramanathan
[1] Xiaofei Wang,et al. A 23.6Mb/mm2 SRAM in 10nm FinFET technology with pulsed PMOS TVC and stepped-WL for low-voltage applications , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).
[2] Harold S. Stone,et al. A Logic-in-Memory Computer , 1970, IEEE Transactions on Computers.
[3] Mark Horowitz,et al. A high-speed, low-power 3D-SRAM architecture , 2008, 2008 IEEE Custom Integrated Circuits Conference.
[4] Norman P. Jouppi,et al. Cacti 3. 0: an integrated cache timing, power, and area model , 2001 .
[5] Duncan G. Elliott,et al. Computational RAM: Implementing Processors in Memory , 1999, IEEE Des. Test Comput..
[6] Norman P. Jouppi,et al. CACTI 2.0: An Integrated Cache Timing and Power Model , 2002 .
[7] Brian Fahs,et al. Microarchitecture optimizations for exploiting memory-level parallelism , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[8] Jaejin Lee,et al. 25.2 A 1.2V 8Gb 8-channel 128GB/s high-bandwidth memory (HBM) stacked DRAM with effective microbump I/O test methods using 29nm process and TSV , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[9] J. Jeddeloh,et al. Hybrid memory cube new DRAM architecture increases density and performance , 2012, 2012 Symposium on VLSI Technology (VLSIT).
[10] Christoforos E. Kozyrakis,et al. A case for intelligent RAM , 1997, IEEE Micro.
[11] Sung Kyu Lim,et al. Ultra-high density 3D SRAM cell designs for monolithic 3D integration , 2012, 2012 IEEE International Interconnect Technology Conference.
[12] Chun Chen,et al. The architecture of the DIVA processing-in-memory chip , 2002, ICS '02.
[13] Meng-Fan Chang,et al. A 65nm 4Kb algorithm-dependent computing-in-memory SRAM unit-macro with 2.3ns and 55.8TOPS/W fully parallel product-sum operation for binary DNN edge processors , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).
[14] Meng-Fan Chang,et al. Compact 3-D-SRAM Memory With Concurrent Row and Column Data Access Capability Using Sequential Monolithic 3-D Integration , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[15] David Blaauw,et al. Compute Caches , 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).
[16] B. Giraud,et al. High density SRAM bitcell architecture in 3D sequential CoolCube™ 14nm technology , 2016, 2016 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).
[17] Guo-Wei Huang,et al. TSV-free FinFET-based Monolithic 3D+-IC with computing-in-memory SRAM cell for intelligent IoT devices , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).
[18] Sung Woo Chung,et al. Architecting large-scale SRAM arrays with monolithic 3D integration , 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).