Modeling the Interdependences Between Voltage Fluctuation and BTI Aging

With technology scaling, the susceptibility of circuits to different reliability degradations is steadily increasing. Aging in transistors due to bias temperature instability (BTI) and voltage fluctuation in the power delivery network of circuits due to IR-drops are the most prominent. In this paper, we are reporting for the first time that there are interdependences between voltage fluctuation and BTI aging that are nonnegligible. Modeling and investigating the joint impact of voltage fluctuation and BTI aging on the delay of circuits, while remaining compatible with the existing standard design flow, is indispensable in order to answer the vital question, “what is an efficient (i.e., small, yet sufficient) timing guardband to sustain the reliability of circuit for the projected lifetime?” This is, concisely, the key goal of this paper. Achieving that would not be possible without employing a physics-based BTI model that precisely describes the underlying generation and recovery mechanisms of defects under arbitrary stress waveforms. For this purpose, our model is validated against varied semiconductor measurements covering a wide range of voltage, temperature, frequency, and duty cycle conditions. To bring reliability awareness to existing EDA tool flows, we create standard cell libraries that contain the delay information of cells under the joint impact of aging and IR-drop. Our libraries can be directly deployed within the standard design flow because they are compatible with existing commercial tools (e.g., Synopsys and Cadence). Hence, designers can leverage the mature algorithms of these tools to accurately estimate the required timing guardbands for any circuit despite its complexity. Our investigation demonstrates that considering aging and IR-drop effects independently, as done in the state of the art, leads to employing insufficient and thus unreliable guardbands because of the nonnegligible (on average 15% and up to 25%) underestimations. Importantly, considering interdependences between aging and IR-drop does not only allow correct guardband estimations, but it also results in employing more efficient guardbands.

[1]  X. Federspiel,et al.  Key parameters driving transistor degradation in advanced strained SiGe channels , 2018, 2018 IEEE International Reliability Physics Symposium (IRPS).

[2]  Mehdi Baradaran Tahoori,et al.  Statistical analysis of BTI in the presence of process-induced voltage and temperature variations , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[3]  Jörg Henkel,et al.  Reliability-aware design to suppress aging , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[4]  Said Hamdioui,et al.  Integral Impact of BTI, PVT Variation, and Workload on SRAM Sense Amplifier , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Chang-Chih Chen,et al.  System-level variation-aware aging simulator using a unified novel gate-delay model for bias temperature instability, hot carrier injection, and gate oxide breakdown , 2015, Microelectron. Reliab..

[6]  Anisur Rahman,et al.  Reliability studies of a 10nm high-performance and low-power CMOS technology featuring 3rd generation FinFET and 5th generation HK/MG , 2018, 2018 IEEE International Reliability Physics Symposium (IRPS).

[7]  N. Ahmed,et al.  A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[8]  M. Alam,et al.  A Comparative Study of Different Physics-Based NBTI Models , 2013, IEEE Transactions on Electron Devices.

[9]  Souvik Mahapatra,et al.  Combined trap generation and transient trap occupancy model for time evolution of NBTI during DC multi-cycle and AC stress , 2015, 2015 IEEE International Reliability Physics Symposium.

[10]  Narendra Parihar,et al.  Prediction of NBTI stress and recovery time kinetics in Si capped SiGe p-MOSFETs , 2018, 2018 IEEE International Reliability Physics Symposium (IRPS).

[11]  S. Mukhopadhyay,et al.  A comprehensive modeling framework for gate stack process dependence of DC and AC NBTI in SiON and HKMG p-MOSFETs , 2014, Microelectron. Reliab..

[12]  Jörg Henkel,et al.  Reliability in Super- and Near-Threshold Computing: A Unified Model of RTN, BTI, and PV , 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

[13]  Narendra Parihar,et al.  Ultrafast Measurements and Physical Modeling of NBTI Stress and Recovery in RMG FinFETs Under Diverse DC–AC Experimental Conditions , 2018, IEEE Transactions on Electron Devices.

[14]  T. Grasser,et al.  Advanced characterization of oxide traps: The dynamic time-dependent defect spectroscopy , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[15]  Jörg Henkel,et al.  Aging-aware voltage scaling , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[16]  Jörg Henkel,et al.  Impact of BTI on dynamic and static power: From the physical to circuit level , 2017, 2017 IEEE International Reliability Physics Symposium (IRPS).

[17]  Marco Ottavi,et al.  Feedback based droop mitigation , 2011, 2011 Design, Automation & Test in Europe.

[18]  Narendra Parihar,et al.  Predictive TCAD for NBTI stress-recovery in various device architectures and channel materials , 2017, 2017 IEEE International Reliability Physics Symposium (IRPS).

[19]  Bonita Bhaskaran,et al.  At-speed capture global noise reduction & low-power memory test architecture , 2017, 2017 IEEE 35th VLSI Test Symposium (VTS).

[20]  S. Mahapatra,et al.  Modeling of NBTI time kinetics and T dependence of VAF in SiGe p-FinFETs , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[21]  Jun He,et al.  Comprehensive device and product level reliability studies on advanced CMOS technologies featuring 7nm high-k metal gate FinFET transistors , 2018, 2018 IEEE International Reliability Physics Symposium (IRPS).

[22]  Masanori Hashimoto,et al.  Validation of a Full-Chip Simulation Model for Supply Noise and Delay Dependence on Average Voltage Drop With On-Chip Delay Measurement , 2007, IEEE Transactions on Circuits and Systems II: Express Briefs.

[23]  H. Reisinger,et al.  A Comparison of Fast Methods for Measuring NBTI Degradation , 2007, IEEE Transactions on Device and Materials Reliability.

[24]  Magdy Bayoumi,et al.  Interconnect noise optimization in nanometer technologies , 2005 .

[25]  Sreeram Chandrasekar,et al.  Dynamic voltage (IR) drop analysis and design closure: Issues and challenges , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[26]  Doris Schmitt-Landsiedel,et al.  Timing violations due to V DD /V SS bounce , 2006 .

[27]  Diana Marculescu,et al.  Aging-aware timing analysis and optimization considering path sensitization , 2011, 2011 Design, Automation & Test in Europe.

[28]  Ulf Schlichtmann,et al.  Aging analysis at gate and macro cell level , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[29]  Chenming Calvin Hu,et al.  Modern Semiconductor Devices for Integrated Circuits , 2009 .

[30]  Xiaofei Wang,et al.  A revolving reference odometer circuit for BTI-induced frequency fluctuation measurements under fast DVFS transients , 2014, 2015 IEEE International Reliability Physics Symposium.

[31]  William V. Huott,et al.  Comparison of Split-Versus Connected-Core Supplies in the POWER6 Microprocessor , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[32]  Chetan Kumar Dabhi,et al.  NBTI-Related Variability Impact on 14-nm Node FinFET SRAM Performance and Static Power: Correlation to Time Zero Fluctuations , 2018, IEEE Transactions on Electron Devices.

[33]  Chen Zheng,et al.  Non Uniform On Chip Power Delivery Network Synthesis Methodology , 2017, ArXiv.

[34]  H. Reisinger,et al.  Analysis of NBTI Degradation- and Recovery-Behavior Based on Ultra Fast VT-Measurements , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.

[35]  Narendra Parihar,et al.  BTI Analysis Tool—Modeling of NBTI DC, AC Stress and Recovery Time Kinetics, Nitrogen Impact, and EOL Estimation , 2018, IEEE Transactions on Electron Devices.

[36]  Sani R. Nassif,et al.  Power grid analysis benchmarks , 2008, 2008 Asia and South Pacific Design Automation Conference.