On-Chip Optical Interconnects For Chip Multiprocessors
暂无分享,去创建一个
[1] M. Lipson. Guiding, modulating, and emitting light on Silicon-challenges and opportunities , 2005, Journal of Lightwave Technology.
[2] Balaram Sinharoy,et al. POWER4 system microarchitecture , 2002, IBM J. Res. Dev..
[3] Hui Chen,et al. Predictions of CMOS compatible on-chip optical interconnect , 2005, SLIP '05.
[4] Brian Bell,et al. Repeater insertion and wire sizing optimization for throughput-centric VLSI global interconnects , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
[5] Dhanistha Panyasak,et al. Circuits , 1995, Annals of the New York Academy of Sciences.
[6] Avinash Kodi,et al. Parallel optical interconnection network for address transactions in large-scale cache coherent symmetric multiprocessors , 2003 .
[7] A.M. Pappu,et al. A low power, low delay TIA for on-chip applications , 2005, (CLEO). Conference on Lasers and Electro-Optics, 2005..
[8] M. Lipson,et al. Ultra-low capacitance and high speed germanium photodetectors on silicon. , 2009, Optics express.
[9] Y. Vlasov,et al. Losses in single-mode silicon-on-insulator strip waveguides and bends. , 2004, Optics express.
[10] Michal Lipson,et al. PINIP based high-speed high-extinction ratio micron-size silicon electrooptic modulator. , 2007, Optics express.
[11] Alyssa B. Apsel,et al. Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[12] Dean M. Tullsen,et al. Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[13] Sunao Torii,et al. On-Chip Optical Interconnect , 2009, Proceedings of the IEEE.
[14] A. Charlesworth. The Sun Fireplane System Interconnect , 2001, ACM/IEEE SC 2001 Conference (SC'01).
[15] B. Mukherjee,et al. A Review of Routing and Wavelength Assignment Approaches for Wavelength- Routed Optical WDM Networks , 2000 .
[16] Luiz André Barroso,et al. The performance of cache-coherent ring-based multiprocessors , 1993, ISCA '93.
[17] Kunle Olukotun,et al. Maximizing CMP throughput with mediocre cores , 2005, 14th International Conference on Parallel Architectures and Compilation Techniques (PACT'05).
[18] H. B. Bakoglu,et al. Circuits, interconnections, and packaging for VLSI , 1990 .
[19] Larry R. Dalton,et al. Polymer micro-ring filters and modulators , 2002 .
[20] Hui Chen,et al. Electrical and optical on-chip interconnects in scaled microprocessors , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[21] Qianfan Xu,et al. High Speed Carrier Injection 18 Gb/s Silicon Micro-ring Electro-optic Modulator , 2007, LEOS 2007 - IEEE Lasers and Electro-Optics Society Annual Meeting Conference Proceedings.
[22] James R. Goodman,et al. Exploiting optical interconnects to eliminate serial bottlenecks , 1996, Proceedings of Massively Parallel Processing Using Optical Interconnections.
[23] David Z. Pan,et al. OIL: a nano-photonics optical interconnect library for a new photonic networks-on-chip architecture , 2009, SLIP '09.
[24] A. Emami-Neyestanak,et al. CMOS transceiver with baud rate clock recovery for optical interconnects , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).
[25] M. Morse,et al. 31 GHz Ge n-i-p waveguide photodetectors on Silicon-on-Insulator substrate. , 2007, Optics express.
[26] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[27] Gu-Yeon Wei,et al. Exploring the design space of power-aware opto-electronic networked systems , 2005, 11th International Symposium on High-Performance Computer Architecture.
[28] Ahmed Louri,et al. A Class of Highly Scalable Optical Crossbar-Connected Interconnection Networks (SOCNs) for Parallel Computing Systems , 2000, IEEE Trans. Parallel Distributed Syst..
[29] M.J. Kobrinsky,et al. Comparisons of conventional, 3-D, optical, and RF interconnects for on-chip clock distribution , 2004, IEEE Transactions on Electron Devices.
[30] Shekhar Borkar,et al. Low power design challenges for the decade , 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).
[31] Qianfan Xu,et al. 12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. , 2007, Optics express.
[32] Luca P. Carloni,et al. Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.
[33] Alyssa B. Apsel,et al. Analysis of challenges for on-chip optical interconnects , 2009, GLSVLSI '09.
[34] Hui Chen,et al. On-Chip Optical Interconnect Roadmap: Challenges and Critical Directions , 2005, IEEE Journal of Selected Topics in Quantum Electronics.
[35] Michal Lipson,et al. Multiple-wavelength integrated photonic networks based on microring resonator devices , 2007 .
[36] Jung Ho Ahn,et al. Devices and architectures for photonic chip-scale integration , 2009 .
[37] Yu Zhang,et al. Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.
[38] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[39] Anoop Gupta,et al. Parallel computer architecture - a hardware / software approach , 1998 .
[40] Steven G. Johnson,et al. High-density integrated optics , 1999 .
[41] Mike Ignatowski,et al. Exploitation of optical interconnects in future server architectures , 2005, IBM J. Res. Dev..
[42] M. Lipson,et al. Low-power-consumption short-length and high-modulation-depth silicon electrooptic modulator , 2003 .
[43] Christopher Batten,et al. Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.
[44] Jurgen Michel,et al. Waveguide-integrated, ultralow-energy GeSi electro-absorption modulators , 2008 .
[45] A. Apsel,et al. Low-cost, high-efficiency, and high-speed SiGe phototransistors in commercial BiCMOS , 2006, IEEE Photonics Technology Letters.
[46] D.A.B. Miller,et al. Rationale and challenges for optical interconnects to electronic chips , 2000, Proceedings of the IEEE.
[47] F. Xia,et al. Ultracompact optical buffers on a silicon chip , 2007 .
[48] A. Poon,et al. Silicon cross-connect filters using microring resonator coupled multimode-interference-based waveguide crossings. , 2008, Optics express.
[49] Michal Lipson,et al. All-optical switching on a silicon chip. , 2004, Optics letters.
[50] S. Xiao,et al. Multiple-channel silicon micro-resonator based filters for WDM applications. , 2007, Optics express.
[51] T. K. Woodward,et al. 1-Gb/s integrated optical detectors and receivers in commercial CMOS technologies , 1999 .
[52] Ian O'Connor,et al. Optical solutions for system-level interconnect , 2004, SLIP '04.
[53] David H. Albonesi,et al. Phastlane: a rapid transit optical routing network , 2009, ISCA '09.
[54] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[55] R. Ho. Chip Wires: Scaling and Efficiency , 2003 .
[56] Anthony F. J. Levi. Fiber-to-the-Processor and Other Challenges for Photonics in Future Systems , 2005 .
[57] K. Banerjee,et al. Power dissipation issues in interconnect performance optimization for sub-180 nm designs , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).
[58] W. K. George,et al. University of Illinois at Urbana-Champain , 1997 .
[59] Pradeep Dubey,et al. Platform 2015: Intel ® Processor and Platform Evolution for the Next Decade , 2005 .
[60] J. Tatum. VCSELs for 10 GB/s optical interconnects , 2001, 2001 IEEE Emerging Technologies Symposium on BroadBand Communications for the Internet Era. Symposium Digest (Cat. No.01EX508).
[61] M. Morse,et al. High speed silicon Mach-Zehnder modulator. , 2005, Optics express.
[62] Alok Aggarwal,et al. Efficient routing in optical networks , 1996, JACM.
[63] Pawan Kapur,et al. Power estimation in global interconnects and its reduction using a novel repeater optimization methodology , 2002, DAC '02.
[64] Keren Bergman,et al. Demonstration of All-Optical Multi-Wavelength Message Routing for Silicon Photonic Networks , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.
[65] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, MICRO.
[66] R. Chau,et al. A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging , 2007, 2007 IEEE International Electron Devices Meeting.
[67] S. Wong,et al. Near speed-of-light signaling over on-chip electrical interconnects , 2003 .
[68] Doron Rubin,et al. 40Gb/s Ge-on-SOI waveguide photodetectors by selective Ge growth , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.
[69] M. Lipson,et al. Low loss etchless silicon photonic waveguides , 2009, 2009 Conference on Lasers and Electro-Optics and 2009 Conference on Quantum electronics and Laser Science Conference.
[70] Jung Ho Ahn,et al. Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.
[71] Eby G. Friedman,et al. Alleviating Thermal Constraints While Maintaining Performance Via Silicon- Based On-chip Optical Interconnects , 2007 .
[72] R. Soref,et al. Electrooptical effects in silicon , 1987 .
[73] Kaustav Banerjee,et al. Multiple Si layer ICs: motivation, performance analysis, and design implications , 2000, Proceedings 37th Design Automation Conference.
[74] M. Paniccia,et al. A high-speed silicon optical modulator based on a metal–oxide–semiconductor capacitor , 2004, Nature.
[75] Ahmed Louri,et al. An optical interconnection network and a modified snooping protocol for the design of large-scale symmetric multiprocessors (SMPs) , 2004, IEEE Transactions on Parallel and Distributed Systems.
[76] Azita Emami-Neyestanak,et al. A 90 nm CMOS 16 Gb/s Transceiver for Optical Interconnects , 2008, IEEE Journal of Solid-State Circuits.
[77] Josep Torrellas,et al. Flexible Snooping: Adaptive Forwarding and Filtering of Snoops in Embedded-Ring Multiprocessors , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).