Clocking Analysis, Implementation and Measurement Techniques for High-Speed Data Links—A Tutorial

The performance of high-speed wireline data links depend crucially on the quality and precision of their clocking infrastructure. For future applications, such as microprocessor systems that require terabytes/s of aggregate bandwidth, signaling system designers will have to become even more aware of detailed clock design tradeoffs in order to jointly optimize I/O power, bandwidth, reliability, silicon area and testability. The goal of this tutorial is to assist I/O circuit and system designers in developing intuitive and practical understanding of I/O clocking tradeoffs at all levels of the link hierarchy from the circuit-level implementation to system-level architecture.

[1]  Behzad Razavi,et al.  A 40 Gb/s clock and data recovery circuit in 0.18 μm CMOS technology , 2003 .

[2]  J.D.H. Alexander Clock recovery from random binary signals , 1975 .

[3]  B. Razavi,et al.  A 2.75 Gb/s CMOS clock recovery circuit with broad capture range , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[4]  Vladimir Stojanovic,et al.  Modeling and analysis of high-speed links , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..

[5]  Thomas H. Lee,et al.  The Design of CMOS Radio-Frequency Integrated Circuits: RF CIRCUITS THROUGH THE AGES , 2003 .

[6]  J.G. Maneatis,et al.  Low-jitter and process independent DLL and PLL based on self biased techniques , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.

[7]  Meng-Chang Lee,et al.  All-digital PLL and GSM/EDGE transmitter in 90nm CMOS , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[8]  A.A. Abidi,et al.  A 9 b, 1.25 ps Resolution Coarse–Fine Time-to-Digital Converter in 90 nm CMOS that Amplifies a Time Residue , 2008, IEEE Journal of Solid-State Circuits.

[9]  John McNeill,et al.  Jitter in ring oscillators , 1994, Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.

[10]  A. Hajimiri,et al.  Jitter and phase noise in ring oscillators , 1999, IEEE J. Solid State Circuits.

[11]  Behzad Razavi,et al.  A study of phase noise in CMOS oscillators , 1996, IEEE J. Solid State Circuits.

[12]  F. Ellinger,et al.  A 25-Gb/s CDR in 90-nm CMOS for High-Density Interconnects , 2006, IEEE Journal of Solid-State Circuits.

[13]  T. Toifl,et al.  0.94ps-rms-jitter 0.016mm/sup 2/ 2.5GHz multi-phase generator PLL with 360/spl deg/ digitally programmable phase shift for 10Gb/s serial links , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[14]  Ken Mai,et al.  The future of wires , 2001, Proc. IEEE.

[15]  Stefanos Sidiropoulos,et al.  A semidigital dual delay-locked loop , 1997 .

[16]  Un-Ku Moon,et al.  A Wide-Tracking Range Clock and Data Recovery Circuit , 2008, IEEE Journal of Solid-State Circuits.

[17]  Matthew Z. Straayer,et al.  A Low-Noise, Wide-BW 3.6GHz Digital ΔΣ Fractional-N Frequency Synthesizer with a Noise-Shaping Time-to-Digital Converter and Quantization Noise Cancellation , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[18]  Chih-Kong Ken Yang,et al.  Jitter optimization based on phase-locked loop design parameters , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[19]  James E. Jaussi,et al.  Future Microprocessor Interfaces: Analysis, Design and Optimization , 2007, 2007 IEEE Custom Integrated Circuits Conference.

[20]  Frank O'Mahony,et al.  A 10-GHz global clock distribution using coupled standing-wave oscillators , 2003 .

[21]  James E. Jaussi,et al.  An 8-Gb/s simultaneous bidirectional link with on-die waveform capture , 2003, IEEE J. Solid State Circuits.

[22]  Alexander V. Rylyakov,et al.  A Wide Power-Supply Range (0.5V-to-1.3V) Wide Tuning Range (500 MHz-to-8 GHz) All-Static CMOS AD PLL in 65nm SOI , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[23]  Frank Ellinger,et al.  A 25Gb/s CDR in 90nm CMOS for High-Density Interconnects , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[24]  B.W. Garlepp,et al.  1.6 Gb/s/pin 4-PAM signaling and circuits for a multi-drop bus , 2000, 2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103).

[25]  Qicheng Yu,et al.  A 2.5-Gb/s Multi-Rate 0.25-$\mu$m CMOS Clock and Data Recovery Circuit Utilizing a Hybrid Analog/Digital Loop Filter and All-Digital Referenceless Frequency Acquisition , 2006, IEEE Journal of Solid-State Circuits.

[26]  Pavan Kumar Hanumolu,et al.  A 1.6Gbps Digital Clock and Data Recovery Circuit , 2006, IEEE Custom Integrated Circuits Conference 2006.

[27]  Naresh R. Shanbhag,et al.  Modeling and mitigation of jitter in multiGbps source-synchronous I/O links , 2003, Proceedings 21st International Conference on Computer Design.

[28]  B. Razavi,et al.  A 10-Gb/s CMOS clock and data recovery circuit with a half-rate linear phase detector , 2001, IEEE J. Solid State Circuits.

[29]  Behzad Razavi,et al.  A 10-Gb/s CMOS clock and data recovery circuit with a half-rate binary phase/frequency detector , 2003, IEEE J. Solid State Circuits.

[30]  Brian Ellis Planar Microwave Engineering: A Practical Guide to Theory, Measurement and Circuits , 2005 .

[31]  A.L. Sangiovanni-Vincentelli,et al.  Behavioral simulation techniques for phase/delay-locked systems , 1994, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '94.

[32]  George Shenoda Synchronous Optical Network Sonet , 1994 .

[33]  A. Emami-Neyestanak,et al.  CMOS transceiver with baud rate clock recovery for optical interconnects , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).

[34]  C. Piguet,et al.  A 320 MHz, 1.5 mW at 1.35 V CMOS PLL for microprocessor clock generation , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.

[35]  J. Lee,et al.  A 40 Gb/s clock and data recovery circuit in 0.18 /spl mu/m CMOS technology , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[36]  Keng L. Wong,et al.  A PLL clock generator with 5 to 110 MHz lock range for microprocessors , 1992, 1992 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[37]  Hui Wu,et al.  Injection-Locked Clocking: A New GHz Clock Distribution Scheme , 2006, IEEE Custom Integrated Circuits Conference 2006.

[38]  M. Horowitz,et al.  A 14-mW 6.25-Gb/s Transceiver in 90-nm CMOS , 2007, IEEE Journal of Solid-State Circuits.

[39]  M.A. Horowitz,et al.  A variable-frequency parallel I/O interface with adaptive power-supply regulation , 2000, IEEE Journal of Solid-State Circuits.

[40]  William J. Dally,et al.  A low-power multiplying DLL for low-jitter multigigahertz clock generation in highly integrated digital chips , 2002, IEEE J. Solid State Circuits.

[41]  E. Alon,et al.  Replica compensated linear regulators for supply-regulated phase-locked loops , 2006, IEEE Journal of Solid-State Circuits.

[42]  M. Horowitz,et al.  Clocking and circuit design for a parallel I/O on a first-generation CELL processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[43]  William J. Dally,et al.  A second-order semi-digital clock recovery circuit based on injection locking , 2003 .

[44]  B. Razavi LowJitter ProcessIndependent DLL and PLL Based on SelfBiased Techniques , 2003 .

[45]  M. Horowitz,et al.  Adaptive bandwidth DLLs and PLLs using regulated supply CMOS buffers , 2000, 2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103).

[46]  Brian K. Flachs,et al.  A Resonant Global Clock Distribution for the Cell Broadband Engine Processor , 2009, IEEE Journal of Solid-State Circuits.

[47]  Chih-Kong Ken Yang,et al.  A 0.8-/spl mu/m CMOS 2.5 Gb/s oversampling receiver and transmitter for serial links , 1996 .

[48]  E. Alon,et al.  Autonomous dual-mode (PAM2/4) serial link transceiver with adaptive equalization and data recovery , 2005, IEEE Journal of Solid-State Circuits.

[49]  M. Horowitz,et al.  - A 0 . 8pm CMOS 2 . 5 Gb / s Oversampling Receiver and Transmitter for Serial Links , 1999 .

[50]  S.S. Wong,et al.  50-GHz Interconnect Design in Standard Silicon Technology , 1998, 51st ARFTG Conference Digest.

[51]  M. Horowitz,et al.  A 2.4 Gb/s/pin simultaneous bidirectional parallel link with per-pin skew compensation , 2000, IEEE Journal of Solid-State Circuits.

[52]  R. Walker Designing Bang-Bang PLLs for Clock and Data Recovery in Serial Data Transmission Systems , .

[53]  William J. Dally,et al.  Jitter transfer characteristics of delay-locked loops - theories and design techniques , 2003, IEEE J. Solid State Circuits.

[54]  R. Mooney,et al.  A Low-Jitter PLL and Repeaterless Clock Distribution Network for a 20Gb/s Link , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[55]  Thomas H. Lee,et al.  A 2.5 V CMOS delay-locked loop for 18 Mbit, 500 megabyte/s DRAM , 1994, IEEE J. Solid State Circuits.

[56]  Un-Ku Moon,et al.  A Wide Tracking Range 0.2-4Gbps Clock and Data Recovery Circuit , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[57]  Keng L. Wong,et al.  A PLL clock generator with 5 to 110 MHz of lock range for microprocessors , 1992 .

[58]  C.R. Hogge A self correcting clock recovery circuit , 1985, IEEE Transactions on Electron Devices.

[59]  S. Lipa,et al.  Rotary traveling-wave oscillator arrays: a new clock technology , 2001 .

[60]  Yiu-Fai Chan,et al.  A portable digital DLL for high-speed CMOS interface circuits , 1999, IEEE J. Solid State Circuits.

[61]  R. Senthinathan,et al.  A second-order semi-digital clock recovery circuit based on injection locking , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[62]  Behzad Razavi Designing BangBang PLLs for Clock and Data Recovery in Serial Data Transmission Systems , 2003 .

[63]  W. Beyene Modeling and Analysis Techniques of Jitter Enhancement Across High-Speed Interconnect Systems , 2007, 2007 IEEE Electrical Performance of Electronic Packaging.

[64]  R. Mooney,et al.  An accurate and efficient analysis method for multi-Gb/s chip-to-chip signaling schemes , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[65]  Chih-Kong Ken Yang,et al.  Adaptive low-jitter LC-based clock distribution , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.