Pulse-Based, 100 Mbps UWB Transceiver

A pulse-based FCC-compliant ultra-wideband (UWB) transceiver is designed and integrated as a four chip and planar antenna solution. The signaling is based on 500 MHz-wide subbanded binary-phase-shift-keyed (BPSK) Gaussian pulses centered in one of 14 bands across the 3.1–10.6 GHz bandwidth. The system includes a UWB planar antenna, a Gaussian BPSK transmitter, a direct-conversion front-end, dual 500 MSps analog-to-digital converters, and a parallelized digital baseband for timing control and data demodulation. The RF local oscillators and baseband gain stages are implemented externally. A 100 Mbps wireless link is established with this chipset. A bit-error rate of 10-3 is observed at a -84 dBm sensitivity. This energy-aware receiver is implemented with strategic hardware hooks such that the quality of service is exchangeable with power consumption.

[1]  C. Svensson,et al.  A 10-bit 5-MS/s successive approximation ADC cell used in a 70-MS/s ADC array in 1.2-μm CMOS , 1994, IEEE J. Solid State Circuits.

[2]  P. E. Green,et al.  A Communication Technique for Multipath Channels , 1958, Proceedings of the IRE.

[3]  G. David Forney,et al.  Maximum-likelihood sequence estimation of digital sequences in the presence of intersymbol interference , 1972, IEEE Trans. Inf. Theory.

[4]  M. Vertregt,et al.  A 6b 1.6 Gsample/s flash ADC in 0.18 μm CMOS using averaging termination , 2002 .

[5]  Hannu Tenhunen,et al.  UWB radio module design for wireless sensor networks , 2005, 2005 NORCHIP.

[6]  M. Win,et al.  Analysis of hybrid selection/maximal-ratio combining of diversity branches with unequal SNR in Rayleigh fading , 1999, 1999 IEEE 49th Vehicular Technology Conference (Cat. No.99CH36363).

[7]  K. P. Ray,et al.  Wide-band planar monopole antennas , 1998 .

[8]  Dong Ha,et al.  Low power CMOS re-programmable pulse generator for UWB systems , 2003, IEEE Conference on Ultra Wideband Systems and Technologies, 2003.

[9]  M. Takamiya,et al.  A 1-V 299/spl mu/W Flashing UWB Transceiver Based on Double Thresholding Scheme , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[10]  Y. Suzuki,et al.  Clocked CMOS calculator circuitry , 1973 .

[11]  Andrea Baschirotto,et al.  A 16mA UWB 3-to-5GHz 20Mpulses/s Quadrature Analog Correlation Receiver in 0.18/spl mu/m CMOS , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[12]  David A. Johns,et al.  Analog Integrated Circuit Design , 1996 .

[13]  Umberto Mengali,et al.  Channel estimation for ultra-wideband communications , 2002, IEEE J. Sel. Areas Commun..

[14]  John G. Proakis,et al.  Digital Communications , 1983 .

[15]  D.M.W. Leenaerts,et al.  An interference-robust receiver for ultra-wideband radio in SiGe BiCMOS technology , 2005, IEEE Journal of Solid-State Circuits.

[16]  S. Iida,et al.  A 3.1 to 5 GHz CMOS DSSS UWB transceiver for WPANs , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[17]  D. Belot,et al.  Single-chip CMOS pulse generator for UWB systems , 2006, IEEE Journal of Solid-State Circuits.

[18]  M.-C.F. Chang,et al.  A 1-GHz signal bandwidth 6-bit CMOS ADC with power-efficient averaging , 2005, IEEE Journal of Solid-State Circuits.

[19]  Brian P. Ginsburg,et al.  System design considerations for ultra-wideband communication , 2005, IEEE Communications Magazine.

[20]  R. Blazquez,et al.  A/D precision requirements for an ultra-wideband radio receiver , 2002, IEEE Workshop on Signal Processing Systems.

[21]  M.Y.W. Chia,et al.  UWB radar transceiver and measurement for medical imaging , 2004, IEEE International Workshop on Biomedical Circuits and Systems, 2004..

[22]  Y. Sanada,et al.  A CMOS ultra-wideband impulse radio transceiver for 1-mb/s data communications and /spl plusmn/2.5-cm range finding , 2006, IEEE Journal of Solid-State Circuits.

[23]  Anuj Batra,et al.  Multi-band OFDM: a new approach for UWB , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

[24]  Tadahiro Kuroda,et al.  A CMOS ultra-wideband impulse radio transceiver for 1-Mb/s data communications and ±2.5-cm range finding , 2006, VLSIC 2006.

[25]  J.A.C. Bingham,et al.  Multicarrier modulation for data transmission: an idea whose time has come , 1990, IEEE Communications Magazine.

[26]  M. Goel,et al.  Towards fully integrated wideband transceivers: fundamental challenges, solutions and future , 2005, 2005 IEEE International Wkshp on Radio-Frequency Integration Technology: Integrated Circuits for Wideband Comm & Wireless Sensor Networks.

[27]  I.D. O'Donnell,et al.  A 2.3mW Baseband Impulse-UWB Transceiver Front-End in CMOS , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[28]  Moe Z. Win,et al.  Performance of RAKE reception in dense multipath channels: implications of spreading bandwidth and selection diversity order , 2000, IEEE Journal on Selected Areas in Communications.

[29]  Li-Rong Zheng,et al.  UWB radio module design for wireless sensor networks , 2005 .

[30]  B. Razavi,et al.  A UWB CMOS transceiver , 2005, IEEE Journal of Solid-State Circuits.

[31]  G. Kumar,et al.  Broadband microstrip antennas , 2002, Microstrip and Printed Antenna Design.

[32]  Brian P. Ginsburg,et al.  Dual scalable 500MS/s, 5b time-interleaved SAR ADCs for UWB applications , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[33]  Moe Z. Win,et al.  Effects of chip rate on selective RAKE combining , 2000, IEEE Communications Letters.

[34]  Youngjoong Joo,et al.  All-digital low-power CMOS pulse generator for UWB system , 2004 .

[35]  Ran-Hong Yan,et al.  A Fully Integrated UWB PHY in 0.13/spl mu/m CMOS , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[36]  G. Promitzer 12 bit low power fully differential switched capacitor non-calibrating successive approximation ADC with 1MS/s , 2000, Proceedings of the 26th European Solid-State Circuits Conference.

[37]  Anantha Chandrakasan,et al.  Architectures for energy-aware impulse UWB communications , 2005, Proceedings. (ICASSP '05). IEEE International Conference on Acoustics, Speech, and Signal Processing, 2005..

[38]  K. Sakamura,et al.  A novel UWB impulse-radio transmitter with all-digitally-controlled pulse generator , 2005, Proceedings of the 31st European Solid-State Circuits Conference, 2005. ESSCIRC 2005..

[39]  F. Kuttner,et al.  A 6-bit 1.2-GS/s low-power flash-ADC in 0.13-/spl mu/m digital CMOS , 2005, IEEE Journal of Solid-State Circuits.

[40]  A. Chandrakasan,et al.  Differential and single ended elliptical antennas for 3.1-10.6 GHz ultra wideband communication , 2004, IEEE Antennas and Propagation Society Symposium, 2004..

[41]  W. Black,et al.  Time interleaved converter arrays , 1980, 1980 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[42]  Moe Z. Win,et al.  Analysis of hybrid selection/maximal-ratio combining in Rayleigh fading , 1999, 1999 IEEE International Conference on Communications (Cat. No. 99CH36311).

[43]  A. Kasamatsu,et al.  Development of CMOS UWB transceivers in NICT UWB consortium , 2005, 2005 Asia-Pacific Microwave Conference Proceedings.

[44]  G. Geelen,et al.  A 6 b 1.1 GSample/s CMOS A/D converter , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[45]  D.M.W. Leenaerts,et al.  A fast-hopping single-PLL 3-band MB-OFDM UWB synthesizer , 2006, IEEE Journal of Solid-State Circuits.

[46]  G.L. Turin,et al.  Introduction to spread-spectrum antimultipath techniques and their application to urban digital radio , 1980, Proceedings of the IEEE.

[47]  A.P. Chandrakasan,et al.  A BiCMOS Ultra-Wideband 3.1–10.6-GHz Front-End , 2006, IEEE Journal of Solid-State Circuits.

[48]  Yuanjin Zheng,et al.  A novel low power UWB transmitter IC , 2006, IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, 2006.

[49]  J.R. Long,et al.  A PPM Gaussian monocycle transmitter for ultra-wideband communications , 2004, 2004 International Workshop on Ultra Wideband Systems Joint with Conference on Ultra Wideband Systems and Technologies. Joint UWBST & IWUWBS 2004 (IEEE Cat. No.04EX812).

[50]  Sudhir Aggarwal,et al.  A low power implementation for the transmit path of a UWB transceiver , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[51]  A. Chandrakasan,et al.  Gaussian pulse Generators for subbanded ultra-wideband transmitters , 2006, IEEE Transactions on Microwave Theory and Techniques.

[52]  Chuan Yi Tang,et al.  A 2.|E|-Bit Distributed Algorithm for the Directed Euler Trail Problem , 1993, Inf. Process. Lett..

[53]  Franck Colombel,et al.  Matching the input impedance of a broadband disc monopole , 1993 .

[54]  M. Tiebout,et al.  A WiMedia/MBOA-Compliant CMOS RF Transceiver for UWB , 2006, IEEE Journal of Solid-State Circuits.

[55]  Gin-Kou Ma,et al.  A 14-band Frequency Synthesizer for MB-OFDM UWB Application , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[56]  Brian P. Ginsburg,et al.  Direct conversion pulsed UWB transceiver architecture , 2005, Design, Automation and Test in Europe.

[57]  Michael B. Pursley,et al.  Multipath Diversity Reception of Spread-Spectrum Multiple-Access Communications , 1987, IEEE Trans. Commun..

[58]  Francesco Svelto,et al.  A 0.18-μm CMOS selective receiver front-end for UWB applications , 2006 .

[59]  Fujiang Lin,et al.  An analog correlator with dynamic bias control for pulse based UWB receiver in 0.18/spl mu/m CMOS technology , 2006, IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, 2006.

[60]  Hiroshi Kodama,et al.  A 1.1V 3.1-to-9.5GHz MB-OFDM UWB transceiver in 90nm CMOS , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[61]  Lei Feng,et al.  An oversampled channelized UWB receiver with transmitted reference modulation , 2006, IEEE Transactions on Wireless Communications.

[62]  Jri Lee A 3-to-8-GHz fast-hopping frequency synthesizer in 0.18-/spl mu/m CMOS technology , 2006 .

[63]  M. Vertregt,et al.  A 6b 1.6 Gsample/s flash ADC in 0.18 /spl mu/m CMOS using averaging termination , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[64]  Jin Liu,et al.  A CMOS impulse generator for UWB wireless communication systems , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

[65]  G. Alquie,et al.  A new integrated monocycle generator and transmitter for ultra-wideband (UWB) communications , 2005, 2005 IEEE Radio Frequency integrated Circuits (RFIC) Symposium - Digest of Papers.

[66]  A. Rabbachin,et al.  A low-complexity noncoherent IR-UWB transceiver architecture with TOA estimation , 2006, IEEE Transactions on Microwave Theory and Techniques.