Using explicit output comparisons for fault tolerant scheduling (FTS) on modern high-performance processors
暂无分享,去创建一个
[1] Mahdi Fazeli,et al. A Software-Based Error Detection Technique Using Encoded Signatures , 2006, 2006 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.
[2] John P. Hayes,et al. Low-cost on-line fault detection using control flow assertions , 2003, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003..
[3] Hermann Kopetz,et al. The time-triggered architecture , 1998, Proceedings First International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC '98).
[4] Petru Eles,et al. Design optimization of time- and cost-constrained fault-tolerant distributed embedded systems , 2005, Design, Automation and Test in Europe.
[5] Wolfgang Hohl,et al. Watchdog processors in parallel systems , 1993, Microprocess. Microprogramming.
[6] Ishfaq Ahmad,et al. Benchmarking the task graph scheduling algorithms , 1998, Proceedings of the First Merged International Parallel Processing Symposium and Symposium on Parallel and Distributed Processing.
[7] Petru Eles,et al. Synthesis of Fault-Tolerant Schedules with Transparency/Performance Trade-offs for Distributed Embedded Systems , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[8] Szu-Liang Chen,et al. The 65nm 16MB On-Die L3 Cache for a Dual Core Multi-Threaded Xeon/sup ~/ Processor , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..
[9] K. Mani Chandy,et al. A comparison of list schedules for parallel processing systems , 1974, Commun. ACM.
[10] Seyed Ghassem Miremadi,et al. Error detection enhancement in COTS superscalar processors with event monitoring features , 2004, 10th IEEE Pacific Rim International Symposium on Dependable Computing, 2004. Proceedings..
[11] Nagarajan Kandasamy,et al. Transparent recovery from intermittent faults in time-triggered distributed systems , 2003 .
[12] Petru Eles,et al. Design Optimization of Time- and Cost-Constrained Fault-Tolerant Embedded Systems With Checkpointing and Replication , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[13] Petru Eles,et al. Analysis and optimization of fault-tolerant embedded systems with hardened processors , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[14] Edward J. McCluskey,et al. Control-flow checking by software signatures , 2002, IEEE Trans. Reliab..
[15] Joel S. Emer,et al. Techniques to reduce the soft error rate of a high-performance microprocessor , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[16] Hermann Kopetz,et al. Distributed fault-tolerant real-time systems: the Mars approach , 1989, IEEE Micro.
[17] Robert E. Lyons,et al. The Use of Triple-Modular Redundancy to Improve Computer Reliability , 1962, IBM J. Res. Dev..
[18] Mahdi Fazeli,et al. A software-based concurrent error detection technique for power PC processor-based embedded systems , 2005, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05).
[19] Massimo Violante,et al. Soft-error detection using control flow assertions , 2003, Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems.
[20] Hermann Kopetz,et al. Real-time systems , 2018, CSC '73.
[21] Seyed Ghassem Miremadi,et al. A hardware approach to concurrent error detection capability enhancement in COTS processors , 2005, 11th Pacific Rim International Symposium on Dependable Computing (PRDC'05).
[22] Salim Hariri,et al. Performance-Effective and Low-Complexity Task Scheduling for Heterogeneous Computing , 2002, IEEE Trans. Parallel Distributed Syst..