Benefits of plasma treatments on critical dimension control and line width roughness transfer during gate patterning

The present work focuses on the line width roughness (LWR) transfer and the critical dimension control during a typical gate stack patterning and shows the benefits of introducing 193 nm photoresist treatments before pattern transfer into the gate stack to improve process performance. The two investigated treatments (HBr plasma and vacuum ultra violet (VUV) plasma radiation) have been tested on both blanket photoresist films and resist patterns to highlight the etching and roughening mechanisms of cured resists. Both treatments reinforce the etch resistance of the photoresist exposed to fluorocarbon plasma etching process used to open the Si-ARC (silicon antireflective coating) layer. The etch resistance improvement of cured resists is attributed to both the decrease in oxygen content within the resist and the crosslinking phenomena caused by VUV radiation during the treatment. As the magnitude of the surface roughness is directly correlated to the etched thickness, cured resists, which are etched less rapidly, will develop a lower surface roughness for the same processing time compared to reference resists. The LWR evolution along the pattern sidewalls has been studied by critical dimension atomic force microscopy during the Si-ARC plasma etching step. The study shows that the LWR is degraded at the top of the resist pattern and propagates along the pattern sidewalls. However, as long as the degradation does not reach the interface between resist and Si-ARC, the LWR decreases during the Si-ARC etching step. As resist pretreatments reinforce the resist etch resistance during Si-ARC etching, the LWR degradation along the sidewalls is limited leading to minimized LWR transfer. The LWR decrease observed after plasma etching has been explained thanks to a spectral analysis of the LWR performed by critical dimension scanning electron microscopy combined with the power spectral density fitting method. The study shows that the high and medium frequency components of the roughness (periodicity below 200 nm) are not totally transferred during the gate patterning allowing a LWR decrease at each plasma step.

[1]  Harry J. Levinson,et al.  The transfer of photoresist LER through etch , 2006, SPIE Advanced Lithography.

[2]  William Lawrence Spatial frequency analysis of line-edge roughness in nine chemically related photoresists , 2003, SPIE Advanced Lithography.

[3]  Kenji Yamazaki,et al.  Influence of edge roughness in resist patterns on etched patterns , 1998 .

[4]  Michael R. Wertheimer,et al.  Vacuum ultraviolet photolysis of hydrocarbon polymers , 2005 .

[5]  Harry J. Levinson,et al.  Line-edge roughness in 193-nm resists: lithographic aspects and etch transfer , 2007, SPIE Advanced Lithography.

[6]  Olivier Joubert,et al.  Linewidth roughness transfer measured by critical dimension atomic force microscopy during plasma patterning of polysilicon gate transistors , 2008 .

[7]  S. Engelmann,et al.  Study of 193nm photoresist degradation during short time fluorocarbon plasma exposure III. Effect of fluorocarbon film and initial surface condition on photoresist degradation , 2008 .

[8]  David B. Graves,et al.  Plasma-surface interactions of advanced photoresists with C4F8∕Ar discharges: Plasma parameter dependencies , 2009 .

[9]  Olivier Joubert,et al.  Plasma treatment to improve linewidth roughness during gate patterning , 2012, Advanced Lithography.

[10]  David B. Graves,et al.  Plasma-surface interactions of model polymers for advanced photoresists using C4F8∕Ar discharges and energetic ion beams , 2007 .

[11]  Han-Ku Cho,et al.  Effects of various plasma pretreatments on 193 nm photoresist and linewidth roughness after etching , 2006 .

[12]  David B. Graves,et al.  Understanding the Roughening and Degradation of 193 nm Photoresist during Plasma Processing: Synergistic Roles of Vacuum Ultraviolet Radiation and Ion Bombardment , 2009 .

[13]  Atsushi Hiraiwa,et al.  Statistical-noise effect on discrete power spectrum of line-edge and line-width roughness , 2010 .

[14]  Hiroichi Kawahira,et al.  Changes of chemical nature of photoresists induced by various plasma treatments and their impact on LWR , 2006, SPIE Advanced Lithography.

[15]  S. Engelmann,et al.  Dependence of photoresist surface modifications during plasma-based pattern transfer on choice of feedgas composition: Comparison of C4F8- and CF4-based discharges , 2009 .

[16]  Arpan P. Mahorowala,et al.  Line edge roughness reduction by plasma curing photoresists , 2005, SPIE Advanced Lithography.

[17]  Kenichi Oyama,et al.  Advanced self-aligned DP process development for 22-nm node and beyond , 2010, Advanced Lithography.

[18]  David B. Graves,et al.  Relationship between nanoscale roughness and ion-damaged layer in argon plasma exposed polystyrene films , 2010 .

[19]  Kurt G. Ronse,et al.  Influence of gate patterning on line edge roughness , 2003 .

[20]  D. Graves,et al.  Plasma-polymer interactions: A review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabrication , 2011 .

[21]  S. Engelmann,et al.  Studies of plasma surface interactions during short time plasma etching of 193 and 248 nm photoresist materials , 2006 .

[22]  E. Pargon,et al.  Vacuum ultra violet absorption spectroscopy of 193 nm photoresists , 2011 .

[23]  Gregg M. Gallatin,et al.  Effect of thin-film imaging on line edge roughness transfer to underlayers during etch processes , 2004 .

[24]  Philippe Foubert,et al.  Roughness characterization in the frequency domain and linewidth roughness mitigation with post-lithography processes , 2010 .

[25]  Atsushi Hiraiwa,et al.  Discrete power spectrum of line width roughness , 2009 .

[26]  Olivier Joubert,et al.  HBr Plasma Treatment Versus VUV Light Treatment to Improve 193 nm Photoresist Pattern Linewidth Roughness , 2011 .

[27]  Olivier Joubert,et al.  Unbiased line width roughness measurements with critical dimension scanning electron microscopy and critical dimension atomic force microscopy , 2012 .

[28]  M. Wertheimer,et al.  Vacuum Ultraviolet Irradiation of Polymers , 1999 .

[29]  A. Bazin,et al.  Mechanisms involved in HBr and Ar cure plasma treatments applied to 193 nm photoresists , 2009 .

[30]  S. Engelmann,et al.  Study of 193nm photoresist degradation during short time fluorocarbon plasma exposure. I. Studies of modified layer formation , 2008 .

[31]  N. Negishi,et al.  Deposition control for reduction of 193 nm photoresist degradation in dielectric etching , 2005 .

[32]  D. Graves,et al.  Comparing 193 nm photoresist roughening in an inductively coupled plasma system and vacuum beam system , 2009 .

[33]  Robert L. Bruce,et al.  On the absence of post-plasma etch surface and line edge roughness in vinylpyridine resists , 2011 .

[34]  David B. Graves,et al.  Study of ion and vacuum ultraviolet-induced effects on styrene- and ester-based polymers exposed to argon plasma , 2009 .

[35]  Hiroshi Ito,et al.  Impact of thin resist processes on post-etch LER , 2003, SPIE Advanced Lithography.