A Performance Model for Network-on-Chip Wormhole Routers

A generic analytical performance model of single-channel wormhole routers is presented using the M/D/1/B queuing theory. Compared with previous work, the flow-control feedback mechanism is studied in detail, and a computing method bases on Markov chain for the flow-control feedback probability is proposed. Compared with BookSim, a well-known cycle-accurate Network-on-Chip (NoC) simulator, this model presents accurate results on key metrics: the average relative error of flow-control feedback probability is about 7.87%. In addition, based on the model of single-channel routers, the asymmetric multi-channel and symmetric multi-channel structured routers are both modeled respectively.

[1]  Hamid Sarbazi-Azad,et al.  On the performance of adaptive wormhole routing in the bi-directional torus network: a hot spot analysis , 2001, Microprocess. Microsystems.

[2]  Radu Marculescu,et al.  Analytical router modeling for networks-on-chip performance analysis , 2007 .

[3]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[4]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[5]  Chita R. Das,et al.  Hypercube Communication Delay with Wormhole Routing , 1994, IEEE Trans. Computers.

[6]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[7]  Hamid Sarbazi-Azad,et al.  An accurate analytical model of adaptive wormhole routing in k-ary n-cubes interconnection networks , 2001, Perform. Evaluation.

[8]  Radu Marculescu,et al.  System-Level Buffer Allocation for Application-Specific Networks-on-Chip Router Design , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Olav Lysne,et al.  Towards a generic analytical model of wormhole routing networks , 1998, Microprocess. Microsystems.

[10]  Yvain Thonnart,et al.  An analytical method for evaluating Network-on-Chip performance , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[11]  Lei Gao,et al.  An accurate and efficient performance analysis approach based on queuing model for network on chip , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[12]  Mohamed Ould-Khaoua,et al.  A general analytical model of adaptive wormhole routing in k-ary n-cubes , 2003 .

[13]  William J. Dally,et al.  Performance Analysis of k-Ary n-Cube Interconnection Networks , 1987, IEEE Trans. Computers.

[14]  Alberto L. Sangiovanni-Vincentelli,et al.  Addressing the system-on-a-chip interconnect woes through communication-based design , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[15]  H. Sarbazi-Azad,et al.  Analytical modelling of wormhole-routed k-ary n-cubes in the presence of matrix-transpose traffic , 2003, J. Parallel Distributed Comput..