ViChaR: A Dynamic Virtual Channel Regulator for NoC Routers [39]

Router buffers are instrumental in the overall operation of the on-chip network. Besides performance, buffers greatly affect the network’s overall energy budget. In fact, of the different components comprising the interconnection fabric of SoCs, buffers are the largest leakage power consumers in an NoC router, consuming about 64% of the total router leakage power [50]. Similarly, buffers consume significant dynamic power [22,51] and this consumption increases rapidly as packet flow throughput increases [51]. In fact, it has been observed that storing a packet in a buffer consumes far more energy than transmitting the packet [51]. Furthermore, the area occupied by an on-chip router is dominated by the buffers [14,52,53]. Consequently, buffer design plays a crucial role in architecting high performance and energy efficient on-chip interconnects, and is the focus of this section.

[1]  Stamatis Vassiliadis,et al.  Design and evaluation of a DAMQ multiprocessor network with self-compacting buffers , 1994, Proceedings of Supercomputing '94.

[2]  Radu Marculescu,et al.  Traffic analysis for on-chip networks design of multimedia applications , 2002, DAC '02.

[3]  Simon W. Moore,et al.  Low-latency virtual-channel routers for on-chip networks , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

[4]  Yuval Tamir,et al.  The design and implementation of a multiqueue buffer for VLSI communication switches , 1989, Proceedings 1989 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[5]  William J. Dally,et al.  Deadlock-Free Message Routing in Multiprocessor Interconnection Networks , 1987, IEEE Transactions on Computers.

[6]  Timothy Mark Pinkston,et al.  Evaluation of queue designs for true fully adaptive routers , 2004, J. Parallel Distributed Comput..

[7]  Sharad Malik,et al.  Power-driven design of router microarchitectures in on-chip networks , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[8]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[9]  Sharad Malik,et al.  A technology-aware and energy-oriented topology exploration for on-chip networks , 2005, Design, Automation and Test in Europe.

[10]  Luca Benini,et al.  Analysis of power consumption on switch fabrics in network routers , 2002, DAC '02.

[11]  William J. Dally Virtual-Channel Flow Control , 1992, IEEE Trans. Parallel Distributed Syst..

[12]  William J. Dally,et al.  GOAL: a load-balanced adaptive routing algorithm for torus networks , 2003, ISCA '03.

[13]  Yuval Tamir,et al.  High-performance multiqueue buffers for VLSI communication switches , 1988, [1988] The 15th Annual International Symposium on Computer Architecture. Conference Proceedings.

[14]  William J. Dally,et al.  The torus routing chip , 2005, Distributed Computing.

[15]  Mithuna Thottethodi,et al.  BLAM: a high-performance routing algorithm for virtual cut-through networks , 2003, Proceedings International Parallel and Distributed Processing Symposium.

[16]  Radu Marculescu,et al.  Application-specific buffer space allocation for networks-on-chip router design , 2004, ICCAD 2004.

[17]  Radu Marculescu,et al.  Energy- and performance-aware mapping for regular NoC architectures , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[18]  Laxmi N. Bhuyan,et al.  Circular buffered switch design with wormhole routing and virtual channels , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

[19]  Luciano Lavagno,et al.  High-Level Modeling and Design of Asynchronous Interface Logic , 1995, IEEE Des. Test Comput..

[20]  José Duato,et al.  A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks , 1993, IEEE Trans. Parallel Distributed Syst..

[21]  Hamid Sarbazi-Azad,et al.  The effect of virtual channel organization on the performance of interconnection networks , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

[22]  William J. Dally,et al.  A Delay Model for Router Microarchitectures , 2001, IEEE Micro.

[23]  Lawrence Snyder,et al.  The Chaos Router , 1994, IEEE Trans. Computers.

[24]  Leonard Kleinrock,et al.  Virtual Cut-Through: A New Computer Communication Switching Technique , 1979, Comput. Networks.

[25]  Li-Shiuan Peh,et al.  Leakage power modeling and optimization in interconnection networks , 2003, ISLPED '03.

[26]  Chita R. Das,et al.  Performance Analysis of Buffering Schemes on Wormhole Routers , 1997, IEEE Trans. Computers.

[27]  William J. Dally,et al.  A delay model and speculative architecture for pipelined routers , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.