Simultaneous interconnect delay and crosstalk noise optimization through gate sizing using game theory
暂无分享,去创建一个
[1] S. Kakutani. A generalization of Brouwer’s fixed point theorem , 1941 .
[2] S. Seki,et al. Analysis of crosstalk in very high-speed LSI/VLSI's using a coupled multiconductor MIS microstrip line model , 1984, IEEE Transactions on Electron Devices.
[3] Wolfgang Leiniger,et al. Games and information: An introduction to game theory: Eric Rasmusen, (Basil Blackwell, Oxford, 1989) , 1991 .
[4] Rajendran Panda,et al. Postroute gate sizing for crosstalk noise reduction , 2004, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[5] J. Nash. Equilibrium Points in N-Person Games. , 1950, Proceedings of the National Academy of Sciences of the United States of America.
[6] Yao-Wen Chang,et al. Crosstalk-driven interconnect optimization by simultaneous gate andwire sizing , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[7] Masanori Hashimoto,et al. Crosstalk noise optimization by post-layout transistor sizing , 2002, ISPD '02.
[8] C. S. Walker,et al. Capacitance, Inductance, and CrossTalk Analysis , 1990 .
[9] E. Rasmusen. Games and Information: An Introduction to Game Theory , 2006 .
[10] J. Neumann. Zur Theorie der Gesellschaftsspiele , 1928 .
[11] Martin D. F. Wong,et al. Closed form solution to simultaneous buffer insertion/sizing and wire sizing , 1997, ISPD '97.
[12] Andrew B. Kahng,et al. Floorplan evaluation with timing-driven global wireplanning, pin assignment, and buffer/wire sizing , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.
[13] D. F. Wong,et al. Closed form solutions to simultaneous buffer insertion/sizing and wire sizing , 2001, ACM Trans. Design Autom. Electr. Syst..
[14] Jiang Hu,et al. Simultaneous driver sizing and buffer insertion using a delay penalty estimation technique , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[15] 裕幸 飯田,et al. International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .
[16] J. Nash. THE BARGAINING PROBLEM , 1950, Classics in Game Theory.
[17] Christos H. Papadimitriou,et al. Algorithms, Games, and the Internet , 2001, ICALP.
[18] Tim Roughgarden. Stackelberg Scheduling Strategies , 2004, SIAM J. Comput..
[19] Dennis Sylvester,et al. Analytical modeling and characterization of deep-submicrometer interconnect , 2001 .
[20] Rajendran Panda,et al. Post-route gate sizing for crosstalk noise reduction , 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..
[21] Kurt Keutzer,et al. Getting to the bottom of deep submicron , 1998, ICCAD '98.
[22] Soo-Young Oh,et al. A scaling scheme for interconnect in deep-submicron processes , 1995, Proceedings of International Electron Devices Meeting.
[23] S. Seki,et al. Analysis of crosstalk in very high-speed LSI/VLSI's using a coupled multiconductor MIS microstrip line model , 1984 .
[24] Jason Cong,et al. Simultaneous Driver And Wire Sizing For Performance And Power Optimization* , 1994, IEEE/ACM International Conference on Computer-Aided Design.
[25] Michael E. Wall,et al. Galib: a c++ library of genetic algorithm components , 1996 .
[26] N. Ranganathan,et al. Gate sizing and buffer insertion using economic models for power optimization , 2004, 17th International Conference on VLSI Design. Proceedings..
[27] H. B. Bakoglu,et al. Circuits, interconnections, and packaging for VLSI , 1990 .
[28] Malgorzata Marek-Sadowska,et al. Gate Sizing to Eliminate Crosstalk Induced Timing Violation , 2001, ICCD.
[29] Jason Cong,et al. Interconnect-Driven Floorplanning with Fast Global Wiring Planning and Optimization , 2001 .
[30] Naresh R. Shanbhag,et al. Reliable low-power design in the presence of deep submicron noise (embedded tutorial session) , 2000, ISLPED '00.
[31] Eby G. Friedman,et al. Interconnect coupling noise in CMOS VLSI circuits , 1999, ISPD '99.
[32] Chenming Hu,et al. Future CMOS scaling and reliability , 1993, Proc. IEEE.
[33] J. Cong,et al. Interconnect design for deep submicron ICs , 1997, ICCAD 1997.
[34] Debjit Sinha,et al. Gate sizing for crosstalk reduction under timing constraints by Lagrangian relaxation , 2004, ICCAD 2004.
[35] Yao-Wen Chang,et al. Timing modeling and optimization under the transmission line model , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[36] Adrian Vetta,et al. Nash equilibria in competitive societies, with applications to facility location, traffic routing and auctions , 2002, The 43rd Annual IEEE Symposium on Foundations of Computer Science, 2002. Proceedings..