Library-Based Placement and Routing in FPGAs with Support of Partial Reconfiguration
暂无分享,去创建一个
[1] Jürgen Teich,et al. ReCoBus-Builder — A novel tool and technique to build statically and dynamically reconfigurable systems for FPGAS , 2008, 2008 International Conference on Field Programmable Logic and Applications.
[2] Elaheh Bozorgzadeh,et al. Multi-layer Floorplanning on a Sequence of Reconfigurable Designs , 2006, 2006 International Conference on Field Programmable Logic and Applications.
[3] Liming Xiu. VLSI Circuit Design Methodology Demystified: A Conceptual Taxonomy , 2007 .
[4] Jason Cong,et al. Customizable Domain-Specific Computing , 2009, IEEE Design & Test of Computers.
[5] Alok N. Choudhary,et al. Accurate area and delay estimators for FPGAs , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[6] Manuel Almeida,et al. Design tools and reusable libraries for FPGA-based digital circuits , 2003, Euromicro Symposium on Digital System Design, 2003. Proceedings..
[7] P. Urard,et al. IP-block-based design environment for high-throughput VLSI dedicated digital signal processing systems , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[8] Francisco V. Fernández,et al. A Reuse-based Design Framework for Analog ICs , 2006 .
[9] David Dye. Partial Reconfiguration of Xilinx FPGAs Using ISE Design Suite , 2010 .
[10] Wayne Luk,et al. Customising Hardware Designs for Elliptic Curve Cryptography , 2004, SAMOS.
[11] Abhishek Ranjan,et al. Multi-resource aware partitioning algorithms for FPGAs with heterogeneous resources , 2004, Proceedings. 41st Design Automation Conference, 2004..
[12] Susmita Sur-Kolay,et al. Fast Unified Floorplan Topology Generation and Sizing on Heterogeneous FPGAs , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[13] Kenneth B. Kent,et al. The VTR project: architecture and CAD for FPGAs from verilog to routing , 2012, FPGA '12.
[14] Daniel Gajski. IP-based design methodology , 1999, DAC '99.
[15] G. Grewal,et al. Hierarchical FPGA placement , 2007, Canadian Journal of Electrical and Computer Engineering.
[16] Frank Vahid,et al. Energy savings and speedups from partitioning critical software loops to hardware in embedded systems , 2004, TECS.
[17] Susmita Sur-Kolay,et al. Floorplanning for Partially Reconfigurable FPGAs , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[18] Terrence Mak,et al. Average interconnection delay estimation for on-FPGA communication links , 2007 .
[19] Ann Gordon-Ross,et al. DAPR: Design Automation for Partially Reconfigurable FPGAs , 2010, ERSA.
[20] Marcel Gort,et al. Analytical placement for heterogeneous FPGAs , 2012, 22nd International Conference on Field Programmable Logic and Applications (FPL).
[21] Marcel Gort,et al. Design re-use for compile time reduction in FPGA high-level synthesis flows , 2014, 2014 International Conference on Field-Programmable Technology (FPT).
[22] Amir Hekmatpour,et al. Standards-compliant IP-based ASIC and SoC design , 2005, Proceedings 2005 IEEE International SOC Conference.
[23] Meththa Samaranayake,et al. Module placement based on hierarchical force directed approach , 2009, 2009 3rd International Conference on Signals, Circuits and Systems (SCS).
[24] Miriam Leeser,et al. VFloat: A Variable Precision Fixed- and Floating-Point Library for Reconfigurable Hardware , 2010, TRETS.
[25] Hai Zhou,et al. Parallel CAD: Algorithm Design and Programming Special Section Call for Papers TODAES: ACM Transactions on Design Automation of Electronic Systems , 2010 .
[26] Philip Heng Wai Leong,et al. A detailed delay path model for FPGAs , 2009, 2009 International Conference on Field-Programmable Technology.
[27] Brent E. Nelson,et al. HMFlow: Accelerating FPGA Compilation with Hard Macros for Rapid Prototyping , 2011, 2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines.
[28] Jim Tørresen,et al. Zero logic overhead integration of partially reconfigurable modules , 2010, SBCCI '10.
[29] Liming Xiu. VLSI Circuit Design Methodology Demystified , 2007 .
[30] Yu Wang,et al. PDPR: Fine-Grained Placement for Dynamic Partially Reconfigurable FPGAs , 2012, ARC.
[31] Seda Ogrenci Memik,et al. Placement and Floorplanning in Dynamically Reconfigurable FPGAs , 2010, TRETS.
[32] Yao-Wen Chang,et al. Efficient and effective packing and analytical placement for large-scale heterogeneous FPGAs , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[33] Majid Sarrafzadeh,et al. Fast Template Placement for Reconfigurable Computing Systems , 2000, IEEE Des. Test Comput..
[34] Yao-Wen Chang,et al. Modern floorplanning based on B/sup */-tree and fast simulated annealing , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[35] Nan Liu,et al. Floorplanning for high utilization of heterogeneous FPGAs , 2011, 2011 12th International Symposium on Quality Electronic Design.
[36] Matthew Shelburne,et al. Wires on Demand: Run-Time Communication Synthesis for Reconfigurable Computing , 2007, 2007 International Conference on Field Programmable Logic and Applications.
[37] Alessandro Forin,et al. Automatic bus macro placement for partially reconfigurable FPGA designs , 2009, FPGA '09.
[38] Chang Xu,et al. Analyzing the impact of heterogeneous blocks on FPGA placement quality , 2014, 2014 International Conference on Field-Programmable Technology (FPT).
[39] Jim Tørresen,et al. Automatic Floorplanning and Interface Synthesis of Island Style Reconfigurable Systems with GoAhead , 2013, ARCS.
[40] Jonathan Rose,et al. On the difficulty of pin-to-wire routing in FPGAs , 2012, 22nd International Conference on Field Programmable Logic and Applications (FPL).
[41] Bo Hu,et al. Timing-Driven Placement for Heterogeneous Field Programmable Gate Array , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[42] Sheqin Dong,et al. LFF algorithm for heterogeneous FPGA floorplanning , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[43] Jonathan Rose,et al. Trading quality for compile time: ultra-fast placement for FPGAs , 1999, FPGA '99.
[44] Ting-Chi Wang,et al. An optimal algorithm for floorplan area optimization , 1990, 27th ACM/IEEE Design Automation Conference.
[45] Kizheppatt Vipin,et al. Efficient region allocation for adaptive partial reconfiguration , 2011, 2011 International Conference on Field-Programmable Technology.
[46] A. Kennings,et al. Analytical minimization of half-perimeter wirelength , 2000, ASP-DAC '00.
[47] Lesley Shannon,et al. Supergenes in a genetic algorithm for heterogeneous FPGA placement , 2013, 2013 IEEE Congress on Evolutionary Computation.
[48] Martin D. F. Wong,et al. Floorplan Design for Multimillion Gate FPGAs , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.