Traceable calibration of a critical dimension atomic force microscope

The National Institute of Standards and Technology (NIST) has a multifaceted program in atomic force microscope (AFM) dimensional metrology. One component of this effort is a custom in-house metrology AFM, called the calibrated AFM (C-AFM). The NIST C-AFM has displacement metrology for all three axes traceable to the 633 nm wavelength of the iodine-stabilized He-Ne laser. A second major component of this program, and the focus of this paper, is the use of critical dimension atomic force microscopy (CD-AFM). CD-AFM is a commercially available AFM technology that uses flared tips and twodimensional surface sensing to scan the sidewalls of near-vertical or even reentrant features. Features of this sort are commonly encountered in semiconductor manufacturing and other nanotechnology industries. NIST has experience in the calibration and characterization of CD-AFM instruments and in the development of uncertainty budgets for typical measurands in semiconductor manufacturing metrology. A third generation CD-AFM was recently installed at NIST. The current performance of this instrument for pitch and height measurements appears to support our relative expanded uncertainty (k = 2) goals in the range of 1.0 × 10-3 down to 1.0 × 10-4.

[1]  Ndubuisi G. Orji,et al.  Multilaboratory comparison of traceable atomic force microscope measurements of a 70-nm grating pitch standard , 2011 .

[2]  Ronald G. Dixson,et al.  Image simulation and surface reconstruction of undercut features in atomic force microscopy , 2007, SPIE Advanced Lithography.

[3]  Marylyn Hoy Bennett,et al.  Implementation of Reference Measurement System using CD-AFM , 2003, SPIE Advanced Lithography.

[4]  Rohit Jain,et al.  Critical dimension AFM tip characterization and image reconstruction applied to the 45-nm node , 2006, SPIE Advanced Lithography.

[5]  James E. Potzick,et al.  Updated NIST photomask linewidth standard , 2003, SPIE Advanced Lithography.

[6]  Richard A. Allen,et al.  Traceable calibration of critical-dimension atomic force microscope linewidth measurements with nanometer uncertainty , 2005 .

[7]  Ronald G. Dixson,et al.  Accurate dimensional metrology with atomic force microscopy , 2000, Advanced Lithography.

[8]  Sitian Gao,et al.  Comparison on Nanometrology: Nano 2?Step height , 2003 .

[9]  Ofer Adan,et al.  Small feature accuracy challenge for CD-SEM metrology physical model solution , 2006, SPIE Advanced Lithography.

[10]  Gregory A. Dahlen,et al.  Advanced CD-AFM probe tip shape characterization for metrology accuracy and throughput , 2007, SPIE Advanced Lithography.

[11]  Ronald Dixson,et al.  CD-AFM reference metrology at NIST and SEMATECH , 2005, SPIE Advanced Lithography.

[12]  Theodore V. Vorburger,et al.  Measurement of pitch and width samples with the NIST calibrated atomic force microscope , 1998, Advanced Lithography.

[13]  Roger Fabian W. Pease,et al.  Lithography and Other Patterning Techniques for Future Electronics , 2008, Proceedings of the IEEE.

[14]  Ndubuisi G. Orji,et al.  Progress on implementation of a reference measurement system based on a critical-dimension atomic force microscope , 2007 .

[15]  Michael T. Postek,et al.  Toward traceability for at-line AFM dimensional metrology , 2002, SPIE Advanced Lithography.

[16]  Richard A. Allen,et al.  RM 8111: Development of a Prototype Linewidth Standard , 2006, Journal of research of the National Institute of Standards and Technology.

[17]  Theodore V. Vorburger,et al.  Dimensional metrology with the NIST calibrated atomic force microscope , 1999, Advanced Lithography.

[18]  Ndubuisi G. Orji,et al.  Traceable atomic force microscope dimensional metrology at NIST , 2006, SPIE Advanced Lithography.

[19]  Barry N. Taylor,et al.  Guidelines for Evaluating and Expressing the Uncertainty of Nist Measurement Results , 2017 .

[20]  Ndubuisi G. Orji,et al.  Scanning probe microscope dimensional metrology at NIST , 2011 .

[21]  H. Kumar Wickramasinghe,et al.  Method for imaging sidewalls by atomic force microscopy , 1994 .

[22]  C. McGray,et al.  Robust auto-alignment technique for orientation-dependent etching of nanostructures , 2012 .

[23]  Ronald G. Dixson,et al.  Reference metrology using a next-generation CD-AFM , 2004, SPIE Advanced Lithography.