Energy-Efficient Monolithic 3-D SRAM Cell With BEOL MoS2 FETs for SoC Scaling

In this article, we propose an energy-efficient monolithic 3-D (M3D) three-tier SRAM cell with back-end-of-the-line (BEOL) back-gated (BG) MoS2 FETs. The impacts of wire routing resistance and capacitance, gate topology of MoS2 FETs, and the layout optimization of multitier 6T SRAM cells have been comprehensively analyzed for SoC scaling through system-technology co-optimization. SRAM plays an integral role in the performance of SoCs, and the performance can be improved by SRAM on logic integration. Compared with one-tier BG SRAM cell design, the proposed monolithic three-tier BG SRAM cell releases the impact of metal line resistance and shows a 44.3% reduction in cell area, 28.4% improvement in read access time, 21.3% improvement in dynamic energy, and 43.6% improvement in energy-delay product. The energy- and area-efficient three-tier BG SRAM cell enables intelligent functionalities for the area- and energy-constrained edge computing devices.

[1]  I. Radu,et al.  Ultra-scaled MOCVD MoS2 MOSFETs with 42nm contact pitch and 250µA/µm drain current , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[2]  Wei Cao,et al.  Ultimate Monolithic-3D Integration With 2D Materials: Rationale, Prospects, and Challenges , 2019, IEEE Journal of the Electron Devices Society.

[3]  Julien Ryckaert,et al.  SRAM With Buried Power Distribution to Improve Write Margin and Performance in Advanced Technology Nodes , 2019, IEEE Electron Device Letters.

[4]  Hidehiro Fujiwara,et al.  24.2 A 7nm 2.1GHz Dual-Port SRAM with WL-RC Optimization and Dummy-Read-Recovery Circuitry to Mitigate Read- Disturb-Write Issue , 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

[5]  Niraj K. Jha,et al.  Three-Dimensional Monolithic FinFET-Based 8T SRAM Cell Design for Enhanced Read Time and Low Leakage , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Arnab K. Pal,et al.  2-D Layered Materials for Next-Generation Electronics: Opportunities and Challenges , 2018, IEEE Transactions on Electron Devices.

[7]  X. Duan,et al.  Approaching the Schottky–Mott limit in van der Waals metal–semiconductor junctions , 2018, Nature.

[8]  Xiaofei Wang,et al.  A 23.6Mb/mm2 SRAM in 10nm FinFET technology with pulsed PMOS TVC and stepped-WL for low-voltage applications , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[9]  Taejoong Song,et al.  A 7nm FinFET SRAM using EUV lithography with dual write-driver-assist circuitry for low-voltage applications , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[10]  C. Chuang,et al.  Performance and Stability Benchmarking of Monolithic 3-D Logic Circuits and SRAM Cells With Monolayer and Few-Layer Transition Metal Dichalcogenide MOSFETs , 2017, IEEE Transactions on Electron Devices.

[11]  Christopher J. Wilson,et al.  Modeling of Via Resistance for Advanced Technology Nodes , 2017, IEEE Transactions on Electron Devices.

[12]  Andrew B. Kahng,et al.  Performance- and energy-aware optimization of BEOL interconnect stack geometry in advanced technology nodes , 2017, 2017 18th International Symposium on Quality Electronic Design (ISQED).

[13]  C.C. Chen,et al.  A 7nm CMOS platform technology featuring 4th generation FinFET transistors with a 0.027um2 high density 6-T SRAM cell for mobile SoC applications , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[14]  Kaustav Banerjee,et al.  An Ultra-Short Channel Monolayer MoS2 FET Defined By the Curvature of a Thin Nanowire , 2016, IEEE Electron Device Letters.

[15]  Jing Kong,et al.  Design, Modeling, and Fabrication of Chemical Vapor Deposition Grown MoS2 Circuits with E-Mode FETs for Large-Area Electronics. , 2016, Nano letters.

[16]  Niraj K. Jha,et al.  Ultra-High Density Monolithic 3-D FinFET SRAM With Enhanced Read Stability , 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

[17]  A. Zubair,et al.  Serially connected monolayer MoS2 FETs with channel patterned by a 7.5 nm resolution directed self-assembly lithography , 2016, 2016 IEEE Symposium on VLSI Technology.

[18]  W. Yeh,et al.  Enabling monolithic 3D image sensor using large-area monolayer transition metal dichalcogenide and logic/memory hybrid 3D+IC , 2016, 2016 IEEE Symposium on VLSI Technology.

[19]  Ching-Te Chuang,et al.  Investigation of BTI reliability for monolithic 3D 6T SRAM with ultra-thin-body GeOI MOSFETs , 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

[20]  C. Hu,et al.  Monolithic 3D CMOS Using Layered Semiconductors , 2016, Advanced materials.

[21]  Ching-Te Chuang,et al.  Evaluation of Monolayer and Bilayer 2-D Transition Metal Dichalcogenide Devices for SRAM Applications , 2016, IEEE Transactions on Electron Devices.

[22]  S. Lodha,et al.  Few-Layer MoS₂ p-Type Devices Enabled by Selective Doping Using Low Energy Phosphorus Implantation. , 2016, ACS nano.

[23]  P. Ye,et al.  10 nm nominal channel length MoS2 FETs with EOT 2.5 nm and 0.52 mA/µm drain current , 2015, 2015 73rd Annual Device Research Conference (DRC).

[24]  C. Chuang,et al.  Stability and Performance Optimization of Heterochannel Monolithic 3-D SRAM Cells Considering Interlayer Coupling , 2014, IEEE Transactions on Electron Devices.

[25]  B. Lherron,et al.  A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI , 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.

[26]  Wei Cao,et al.  Graphene and beyond-graphene 2D crystals for next-generation green electronics , 2014, Defense + Security Symposium.

[27]  Jonathan Chang,et al.  A 16 nm 128 Mb SRAM in High- $\kappa$ Metal-Gate FinFET Technology With Write-Assist Circuitry for Low-VMIN Applications , 2014, IEEE Journal of Solid-State Circuits.

[28]  Ali Javey,et al.  MoS₂ P-type transistors and diodes enabled by high work function MoOx contacts. , 2014, Nano letters.

[29]  Sung Kyu Lim,et al.  Ultra-high density 3D SRAM cell designs for monolithic 3D integration , 2012, 2012 IEEE International Interconnect Technology Conference.

[30]  Young-Hyun Jun,et al.  A 100nm Double-Stacked 500MHz 72Mb Separate-I/O Synchronous SRAM with Automatic Cell-Bias Scheme and Adaptive Block Redundancy , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[31]  Kinam Kim,et al.  High Speed and Highly Cost effective 72M bit density S3 SRAM Technology with Doubly Stacked Si Layers, Peripheral only CoSix layers and Tungsten Shunt W/L Scheme for Standalone and Embedded Memory , 2007, 2007 IEEE Symposium on VLSI Technology.

[32]  Pugach Nataliya,et al.  International roadmap for devices and systems. Cryogenic electronics and quantum information processing. 2018 Update , 2019 .

[33]  Hidehiro Fujiwara,et al.  12.1 A 7nm 256Mb SRAM in high-k metal-gate FinFET technology with write-assist circuitry for low-VMIN applications , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

[34]  C. Hu,et al.  A numerical study of Si-TMD contact with n/p type operation and interface barrier reduction for sub-5 nm monolayer MoS2 FET , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).