Influence of solubility switching mechanism on resist performance in molecular glass resists

Five different molecular glass chemically amplified photoresists which utilized different solubility switching mechanisms and chemistries, based on a tris(4-hydroxyphenyl)ethane (THPE) core, were synthesized and their performance compared. Three different positive tone systems were designed based on acid catalyzed deprotection of a phenolic hydroxyl group protected with one of the following groups: tert-butoxycarbonyl (tBoc), tetrahydropyranyl, or ethoxyethyl. Two negative tone systems were designed; one using cationic epoxide polymerization of pendant epoxides and one using condensation of the unprotected THPE with a multifunctional cross-linking additive. The tBoc system and negative tone systems showed good performance under deep UV and large field e-beam patterning, but the epoxide system showed far superior performance for high resolution electron beam patterning. It was able to produce 50nm 1:1 line/space patterns and 30nm lines on 1:3 line/space patterns with high sensitivity, good contrast, and a ...

[1]  R. Ayothi,et al.  New PFOS Free Photoresist Systems for EUV Lithography , 2006 .

[2]  Jin-Baek Kim,et al.  Negative nanomolecular resists based on calix[4]resorcinarene , 2006, SPIE Advanced Lithography.

[3]  Clifford L. Henderson,et al.  Molecular glass photoresists containing photoacid generator functionality: a route to a single-molecule photoresist , 2007, SPIE Advanced Lithography.

[4]  Kenji Gamo,et al.  Novel Electron-Beam Molecular Resists with High Resolution and High Sensitivity for Nanometer Lithography , 2004 .

[5]  Bruno M. La Fontaine,et al.  Characterization of line-edge roughness in photoresist using an image fading technique , 2004, SPIE Advanced Lithography.

[6]  Nelson Felix,et al.  Materials for future lithography (Invited Paper) , 2005, SPIE Advanced Lithography.

[7]  Seung Wook Chang,et al.  Molecular glass photoresists for advanced lithography , 2006 .

[8]  R. Ayothi,et al.  Sub-50 nm feature sizes using positive tone molecular glass resists for EUV lithography , 2006 .

[9]  C. Grant Willson,et al.  Effects of crosslinking agent on lithographic performance of negative-tone resists based on poly(p-hydroxystyrene) , 1997, Advanced Lithography.

[10]  H. Binder,et al.  A new environmentally stable protective group for deep UV resists: Methoxy(tetrahydropyranyl) ether , 2000 .

[11]  Hiroshi Fukuda,et al.  Distribution control of protecting groups and its effect on LER for EUV molecular resist , 2007, SPIE Advanced Lithography.

[12]  Jin Baek Kim,et al.  A positive-working alkaline developable photoresist based on partially tert-Boc-protected calix[4]resorcinarene and a photoacid generator , 2002 .

[13]  Junyan Dai,et al.  Molecular Glass Resists for High-Resolution Patterning , 2006 .

[14]  Tsuguo Yamaoka,et al.  The participation of the anion and alkyl substituent of diaryliodonium salts in photo-initiated cationic polymerization reactions , 2006 .

[15]  Nobuaki Hayashi,et al.  Acid-Catalyzed Reactions of Tetrahydropyranyl-Protected Polyvinylphenol in a Novolak-Resin-Based Positive Resist , 1992 .

[16]  Atsuko Yamaguchi,et al.  Development of electron beam resists based on amorphous polyphenols with low molecular weight and narrow dispersion , 2005, SPIE Advanced Lithography.

[17]  Jean M. J. Fréchet,et al.  Dendrimers with Thermally Labile End Groups: An Alternative Approach to Chemically Amplified Resist Materials Designed for Sub‐100 nm Lithography , 2000 .

[18]  B. Kasemo,et al.  Transmission electron microscopy 'windows' for nanofabricated structures , 2004 .

[19]  Takashi Hattori,et al.  Negative-tone polyphenol resist based on chemically amplified polarity change reaction with sub-50 nm resolution capability , 2006, SPIE Advanced Lithography.