Pareto-optimization-based run-time task scheduling for embedded systems

Pareto-set-based optimization can be found in several different areas of embedded system design. One example is task scheduling, where different task mapping and ordering choices for a target platform will lead to different performance/cost tradeoffs. To explore this design space at runtime, a fast and effective heuristic is needed. We have modeled the problem as the well known Multiple Choice Knapsack Problem (MCKP) and have developed a fast greedy heuristic for the run-time task scheduling. To show the effectiveness of our algorithm, examples from randomly generated task graphs and realistic applications are studied. Compared to the optimal dynamic programming solver, the heuristic is more than ten times faster while the result is less than 5% away from the optimum. Moreover, due to its iterative feature, the algorithm is well suitable to be used as an online algorithm.

[1]  Karsten Schwan,et al.  Experimental Evaluation of a Real-Time Scheduler for a Multiprocessor System , 1991, IEEE Trans. Software Eng..

[2]  Anantha Chandrakasan,et al.  Energy Efficient Real-Time Scheduling , 2001, ICCAD.

[3]  Rolf Ernst,et al.  Intervals in software execution cost analysis , 2000, ISSS '00.

[4]  Krithi Ramamritham,et al.  Allocation and scheduling of complex periodic tasks , 1990, Proceedings.,10th International Conference on Distributed Computing Systems.

[5]  Bashir M. Al-Hashimi,et al.  Considering power variations of DVS processing elements for energy minimisation in distributed systems , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

[6]  Eric G. Manning,et al.  Heuristic Solutions for the Multiple-Choice Multi-dimension Knapsack Problem , 2001, International Conference on Computational Science.

[7]  Daniel Mossé,et al.  Power-optimized scheduling server for real-time tasks , 2002, Proceedings. Eighth IEEE Real-Time and Embedded Technology and Applications Symposium.

[8]  Anshul Kumar,et al.  A new performance evaluation approach for system level design space exploration , 2002, 15th International Symposium on System Synthesis, 2002..

[9]  Jörg Henkel,et al.  Dynamic runtime re-scheduling allowing multiple implementations of a task for platform-based designs , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[10]  Theodore P. Baker,et al.  Stack-based scheduling of realtime processes , 1991, Real-Time Systems.

[11]  Joseph Y.-T. Leung,et al.  On the complexity of fixed-priority scheduling of periodic, real-time tasks , 1982, Perform. Evaluation.

[12]  Chaitali Chakrabarti,et al.  Battery-conscious task sequencing for portable devices including voltage/clock scaling , 2002, DAC '02.

[13]  Miodrag Potkonjak,et al.  Synthesis of Hard Real-Time Application Specific Systems , 1999, Des. Autom. Embed. Syst..

[14]  Luca Benini,et al.  Software-controlled processor speed setting for low-power streamingmultimedia , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  Rolf Ernst,et al.  Model composition for scheduling analysis in platform design , 2002, DAC '02.

[16]  Kiyoung Choi,et al.  Power conscious fixed priority scheduling for hard real-time systems , 1999, DAC '99.

[17]  Aloysius K. Mok,et al.  Multiprocessor On-Line Scheduling of Hard-Real-Time Tasks , 1989, IEEE Trans. Software Eng..

[18]  Paul Marchal,et al.  Task concurrency management methodology to schedule the MPEG4 IM1 player on a highly parallel processor platform , 2001, CODES '01.

[19]  Anantha Chandrakasan,et al.  Data driven signal processing: an approach for energy efficient computing , 1996, ISLPED '96.

[20]  Vilfredo Pareto,et al.  Manuale di economia politica , 1965 .

[21]  Seongsoo Lee,et al.  Run-time voltage hopping for low-power real-time systems , 2000, DAC.

[22]  Krithi Ramamritham,et al.  Efficient Scheduling Algorithms for Real-Time Multiprocessor Systems , 1989, IEEE Trans. Parallel Distributed Syst..

[23]  Giovanni De Micheli,et al.  Energy efficient system design and utilization , 2001 .

[24]  Alberto L. Sangiovanni-Vincentelli,et al.  Platform-Based Design and Software Design Methodology for Embedded Systems , 2001, IEEE Des. Test Comput..

[25]  C. D. Locke,et al.  Best-effort decision-making for real-time scheduling , 1986 .

[26]  James W. Layland,et al.  Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment , 1989, JACM.

[27]  Francky Catthoor,et al.  Dynamic memory management design methodology for reduced memory footprint in multimedia and wireless network applications , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[28]  Jörg Henkel,et al.  A framework for estimating and minimizing energy dissipation of embedded HW/SW systems , 2001 .

[29]  Binoy Ravindran,et al.  Using Application Benefit for Proactive Resource Allocation in Asynchronous Real-Time Distributed Systems , 2002, IEEE Trans. Computers.

[30]  Lizy Kurian John,et al.  Routine based OS-aware microprocessor resource adaptation for run-time operating system power saving , 2003, ISLPED '03.

[31]  Kiyoung Choi,et al.  An intra-task dynamic voltage scaling method for SoC design with hierarchical FSM and synchronous dataflow model , 2002, Proceedings of the International Symposium on Low Power Electronics and Design.

[32]  Edward A. Lee,et al.  Static Scheduling of Synchronous Data Flow Programs for Digital Signal Processing , 1989, IEEE Transactions on Computers.

[33]  D. Sciuto,et al.  An instruction-level functionality-based energy estimation model for 32-bits microprocessors , 2000, Proceedings 37th Design Automation Conference.

[34]  Gang Quan,et al.  A realistic variable voltage scheduling model for real-time applications , 2002, ICCAD 2002.

[35]  Niraj K. Jha,et al.  Battery-aware static scheduling for distributed real-time embedded systems , 2001, DAC '01.

[36]  Giorgio C. Buttazzo,et al.  Optimal Deadline Assignment for Scheduling Soft Aperiodic Tasks in Hard Real-Time Environments , 1999, IEEE Trans. Computers.

[37]  Luca Benini,et al.  A survey of design techniques for system-level dynamic power management , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[38]  Rajesh Gupta,et al.  Profile-based dynamic voltage scheduling using program checkpoints , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[39]  Hal Wasserman,et al.  Comparing algorithm for dynamic speed-setting of a low-power CPU , 1995, MobiCom '95.

[40]  Gerhard Fohler,et al.  Issues in the static allocation and scheduling of complex periodic tasks , 1993 .

[41]  Marco Spuri,et al.  Efficient aperiodic service under earliest deadline scheduling , 1994, 1994 Proceedings Real-Time Systems Symposium.

[42]  Philip Levis,et al.  Policies for dynamic clock scheduling , 2000, OSDI.

[43]  Gang Qu,et al.  Energy reduction techniques for multimedia applications with tolerance to deadline misses , 2003, DAC.

[44]  Viktor K. Prasanna,et al.  A metric and mixed-integer-programming-based approach for resource allocation in dynamic real-time systems , 2002, Proceedings 16th International Parallel and Distributed Processing Symposium.

[45]  M. Potkonjak,et al.  On-line scheduling of hard real-time tasks on variable voltage processor , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[46]  Hiroto Yasuura,et al.  Real-time task scheduling for a variable voltage processor , 1999, Proceedings 12th International Symposium on System Synthesis.

[47]  Niraj K. Jha,et al.  MOCSYN: multiobjective core-based single-chip system synthesis , 1999, DATE '99.

[48]  Petru Eles,et al.  Design optimization of mixed time/event-triggered distributed embedded systems , 2003, First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).

[49]  Luca Benini,et al.  Cycle-accurate simulation of energy consumption in embedded systems , 1999, DAC '99.

[50]  Scott Devine,et al.  Using the SimOS machine simulator to study complex computer systems , 1997, TOMC.

[51]  Luca Benini,et al.  Analysis of power consumption on switch fabrics in network routers , 2002, DAC '02.

[52]  Francky Catthoor,et al.  Modeling, Verification and Exploration of Task-Level Concurrency in Real-Time Embedded Systems , 1999, Springer US.

[53]  Giovanni De Micheli,et al.  Software controlled power management , 1999, CODES '99.

[54]  Hiroto Yasuura,et al.  Voltage scheduling problem for dynamically variable voltage processors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[55]  Sharad Malik,et al.  Static timing analysis of embedded software , 1997, DAC.

[56]  Thomas D. Burd,et al.  Voltage scheduling in the IpARM microprocessor system , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).

[57]  Soonhoi Ha,et al.  Dynamic voltage scheduling technique for low-power multimedia applications using buffers , 2001, ISLPED '01.

[58]  N.K. Jha,et al.  CORDS: hardware-software co-synthesis of reconfigurable real-time distributed embedded systems , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[59]  Krithi Ramamritham,et al.  Resource Reclaiming in Multiprocessor Real-Time Systems , 1993, IEEE Trans. Parallel Distributed Syst..

[60]  Rolf Ernst,et al.  An Approach to Mixed Systems Co-Synthesis , 1997, CODES.

[61]  Alan Burns,et al.  Scheduling slack time in fixed priority pre-emptive systems , 1993, 1993 Proceedings Real-Time Systems Symposium.

[62]  Luca Benini,et al.  Event-driven power management , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[63]  Francky Catthoor,et al.  Dynamic Mapping and Ordering Tasks of Embedded Real-Time Systems on Multiprocessor Platforms , 2004, SCOPES.

[64]  Paolo Toth,et al.  Knapsack Problems: Algorithms and Computer Implementations , 1990 .

[65]  Christopher J. Hughes,et al.  RSIM: Simulating Shared-Memory Multiprocessors with ILP Processors , 2002, Computer.

[66]  Anantha Chandrakasan,et al.  High-efficiency multiple-output DC-DC conversion for low-voltage systems , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[67]  Grant Martin,et al.  Surviving the SOC Revolution: A Guide to Platform-Based Design , 1999 .

[68]  Jochen A. G. Jess,et al.  Stream communication between real-time tasks in a high-performance multiprocessor , 1998, Proceedings Design, Automation and Test in Europe.

[69]  Ken Kennedy,et al.  Improving cache performance in dynamic applications through data and computation reorganization at run time , 1999, PLDI '99.

[70]  David Lorge Parnas,et al.  Scheduling Processes with Release Times, Deadlines, Precedence, and Exclusion Relations , 1990, IEEE Trans. Software Eng..

[71]  Edward A. Lee,et al.  Compile-time scheduling of dynamic constructs in dataflow program graphs , 1997 .

[72]  Andrew S. Tanenbaum,et al.  Distributed operating systems , 2009, CSUR.

[73]  Niraj K. Jha,et al.  MOGAC: a multiobjective genetic algorithm for hardware-software cosynthesis of distributed embedded systems , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[74]  Hiroto Yasuura,et al.  Software Energy Reduction Techniques for Variable-Voltage Processors , 2001, IEEE Des. Test Comput..

[75]  Norman P. Jouppi,et al.  Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction , 2003, MICRO.

[76]  Cristina Silvano,et al.  Power estimation of embedded systems: a hardware/software codesign approach , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[77]  Gang Quan,et al.  Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors , 2001, DAC '01.

[78]  Jan Węglarz,et al.  Project scheduling : recent models, algorithms, and applications , 1999 .

[79]  Niraj K. Jha,et al.  Static and dynamic variable voltage scheduling algorithms for real-time heterogeneous distributed embedded systems , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[80]  Joseph Borel,et al.  Design automation in MEDEA: present and future , 1999, IEEE Micro.

[81]  John P. Lehoczky,et al.  On-line scheduling of hard deadline aperiodic tasks in fixed-priority systems , 1993, 1993 Proceedings Real-Time Systems Symposium.

[82]  Jorg Henkel,et al.  System-level exploration for pareto-optimal configurations in parameterized systems-on-a-chip , 2001, ICCAD 2001.

[83]  Luca Benini,et al.  Contents provider-assisted dynamic voltage scaling for low energy multimedia applications , 2002, ISLPED '02.

[84]  John P. Lehoczky,et al.  The rate monotonic scheduling algorithm: exact characterization and average case behavior , 1989, [1989] Proceedings. Real-Time Systems Symposium.

[85]  V. Scherman,et al.  Conference Papers , 2018, The Dostoevsky Journal.

[86]  Niraj K. Jha,et al.  COHRA: hardware-software co-synthesis of hierarchical distributed embedded system architectures , 1998, Proceedings Eleventh International Conference on VLSI Design.

[87]  Niraj K. Jha,et al.  COSYN: Hardware-software co-synthesis of heterogeneous distributed embedded systems , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[88]  Sang Lyul Min,et al.  Analysis of cache-related preemption delay in fixed-priority preemptive scheduling , 1998, 17th IEEE Real-Time Systems Symposium.

[89]  Diederik Verkest,et al.  Optimisation problems for dynamic concurrent task-based systems , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[90]  Petru Eles,et al.  Schedulability analysis for distributed heterogeneous time/event triggered real-time systems , 2003, 15th Euromicro Conference on Real-Time Systems, 2003. Proceedings..

[91]  Francky Catthoor,et al.  Managing dynamic concurrent tasks in embedded real-time multimedia systems , 2002, 15th International Symposium on System Synthesis, 2002..

[92]  Sang Lyul Min,et al.  Dynamic voltage scaling algorithm for dynamic-priority hard real-time systems using slack time analysis , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[93]  Krzysztof Kuchcinski,et al.  Uncertainty-based scheduling: energy-efficient ordering for tasks with variable execution time , 2003, ISLPED '03.

[94]  Miodrag Potkonjak,et al.  Power optimization of variable voltage core-based systems , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[95]  Niraj K. Jha,et al.  Low power system scheduling and synthesis , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[96]  Michael L. Scott,et al.  Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

[97]  Giovanni De Micheli,et al.  Energy efficient design of portable wireless systems , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).

[98]  Petru Eles,et al.  Bus access optimization for distributed embedded systems based on schedulability analysis , 2000, DATE '00.

[99]  Anantha Chandrakasan,et al.  Design and implementation of a scalable encryption processor with embedded variable DC/DC converter , 1999, DAC '99.

[100]  Pai H. Chou,et al.  Combined functional partitioning and communication speed selection for networked voltage-scalable processors , 2002, 15th International Symposium on System Synthesis, 2002..

[101]  Johan A. Pouwelse,et al.  Energy priority scheduling for variable voltage processors , 2001, ISLPED '01.

[102]  John P. Lehoczky,et al.  An optimal algorithm for scheduling soft-aperiodic tasks in fixed-priority preemptive systems , 1992, [1992] Proceedings Real-Time Systems Symposium.

[103]  Ahmed Amine Jerraya,et al.  Scalable and flexible cosimulation of SoC designs with heterogeneous multi-processor target architectures , 2001, ASP-DAC '01.

[104]  Alan Burns,et al.  The End Of The Line For Static Cyclic Scheduling? , 1993, Fifth Euromicro Workshop on Real-Time Systems.

[105]  Dirk Ziegenbein,et al.  Dynamic response time optimization for SDF graphs , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[106]  Wayne H. Wolf,et al.  TGFF: task graphs for free , 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).

[107]  S. Martello,et al.  Algorithms for Knapsack Problems , 1987 .

[108]  Luca Benini,et al.  System-level power optimization: techniques and tools , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[109]  Luca Benini,et al.  Dynamic power management - design techniques and CAD tools , 1997 .

[110]  Sönke Hartmann,et al.  Project Scheduling with Multiple Modes: A Genetic Algorithm , 2001, Ann. Oper. Res..

[111]  Petru Eles,et al.  Scheduling of conditional process graphs for the synthesis of embedded systems , 1998, DATE.

[112]  Hussein M. Abdel-Wahab,et al.  A proportional share resource allocation algorithm for real-time, time-shared systems , 1996, 17th IEEE Real-Time Systems Symposium.

[113]  Rudy Lauwereins,et al.  Energy-Aware Runtime Scheduling for Embedded-Multiprocessor SOCs , 2001, IEEE Des. Test Comput..

[114]  Pierre G. Paulin,et al.  System-on-chip beyond the nanometer wall , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[115]  Xiaobo Sharon Hu,et al.  Task scheduling and voltage selection for energy minimization , 2002, DAC '02.

[116]  Miodrag Potkonjak,et al.  Synthesis techniques for low-power hard real-time systems on variable voltage processors , 1998, Proceedings 19th IEEE Real-Time Systems Symposium (Cat. No.98CB36279).

[117]  F. Frances Yao,et al.  A scheduling model for reduced CPU energy , 1995, Proceedings of IEEE 36th Annual Foundations of Computer Science.

[118]  William Stallings,et al.  Operating Systems: Internals and Design Principles , 1991 .

[119]  Chaitali Chakrabarti,et al.  Voltage scaling for energy minimization with QoS constraints , 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

[120]  Shirish S. Sathaye,et al.  Generalized rate-monotonic scheduling theory: a framework for developing real-time systems , 1994, Proc. IEEE.

[121]  Michael L. Scott,et al.  Dynamic frequency and voltage control for a multiple clock domain microarchitecture , 2002, MICRO.

[122]  Gang Quan,et al.  Minimum energy fixed-priority scheduling for variable voltage processors , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[123]  Scott Shenker,et al.  Scheduling for reduced CPU energy , 1994, OSDI '94.

[124]  Miodrag Potkonjak,et al.  Achieving utility arbitrarily close to the optimal with limited energy , 2000, ISLPED '00.

[125]  Dongkun Shin,et al.  Low-energy intra-task voltage scheduling using static timing analysis , 2001, DAC '01.

[126]  Miodrag Potkonjak,et al.  System Synthesis of Synchronous Multimedia Applications , 1999, TECS.

[127]  Yixin Shou,et al.  Parametric Intra-Task Dynamic Voltage Scheduling , 2003 .

[128]  Kiyoung Choi,et al.  Power optimization of real-time embedded systems on variable speed processors , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[129]  Rudy Lauwereins,et al.  Cost-efficient mapping of dynamic concurrent tasks in embedded real-time multimedia systems , 2005 .

[130]  Kang G. Shin,et al.  A user-customizable energy-adaptive combined static/dynamic scheduler for mobile applications , 2000, Proceedings 21st IEEE Real-Time Systems Symposium.

[131]  Steven M. Burns,et al.  Bounded Delay Timing Analysis of a Class of CSP Programs , 1997, Formal Methods Syst. Des..

[132]  Diana Marculescu,et al.  Power and performance evaluation of globally asynchronous locally synchronous processors , 2002, ISCA.

[133]  Luca Benini,et al.  Operating-system directed power reduction , 2000, ISLPED '00.

[134]  Miodrag Potkonjak,et al.  Energy minimization with guaranteed quality of service , 2000, ISLPED '00.

[135]  Grant Martin,et al.  Winning the SoC revolution : experiences in real design , 2003 .

[136]  F. Catthoor,et al.  Task concurrency analysis and exploration of visual texture decoder on a heterogeneous platform , 2003, 2003 IEEE Workshop on Signal Processing Systems (IEEE Cat. No.03TH8682).

[137]  Paul Marchal,et al.  Matador: An Exploration Environment for System-Design , 2002, J. Circuits Syst. Comput..

[138]  Kiyoung Choi,et al.  An intra-task dynamic voltage scaling method for SoC design with hierarchical FSM and synchronous dataflow model , 2002, ISLPED '02.

[139]  Maryline Chetto,et al.  Some Results of the Earliest Deadline Scheduling Algorithm , 1989, IEEE Transactions on Software Engineering.

[140]  Krithi Ramamritham,et al.  Scheduling algorithms and operating systems support for real-time systems , 1994, Proc. IEEE.

[141]  Luca Benini,et al.  Dynamic voltage scaling and power management for portable systems , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[142]  Luca Benini,et al.  SDRAM-Energy-Aware memory allocation for dynamic multi-media applications on multi-processor platforms , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[143]  T.A.C.M. Claasen,et al.  High speed: not the only way to exploit the intrinsic computational power of silicon , 1999, 1999 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC. First Edition (Cat. No.99CH36278).

[144]  Lui Sha,et al.  Priority Inheritance Protocols: An Approach to Real-Time Synchronization , 1990, IEEE Trans. Computers.

[145]  Maryline Chetto,et al.  Dynamic scheduling of real-time tasks under precedence constraints , 1990, Real-Time Systems.

[146]  Marco Spuri,et al.  Scheduling aperiodic tasks in dynamic priority systems , 1996, Real-Time Systems.

[147]  Rudy Lauwereins,et al.  Task concurrency management experiment for power-efficient speed-up of embedded MPEG4 IM1 player , 2000, Proceedings 2000. International Workshop on Parallel Processing.

[148]  Thomas D. Burd,et al.  The simulation and evaluation of dynamic voltage scaling algorithms , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[149]  David Pisinger,et al.  Algorithms for Knapsack Problems , 1995 .

[150]  Krste Asanovic,et al.  Reducing power density through activity migration , 2003, ISLPED '03.

[151]  Hongyi Zhou,et al.  Dynamic Scheduling of Hard Real-Time Tasks and Real-Time Threads , 1992, IEEE Trans. Software Eng..

[152]  Luca Benini,et al.  Powering networks on chips , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

[153]  Luca Benini,et al.  Dynamic power management using adaptive learning tree , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[154]  Mahmut T. Kandemir,et al.  An energy saving strategy based on adaptive loop parallelization , 2002, DAC '02.

[155]  Frank Vahid,et al.  Interface and cache power exploration for core-based embedded system design , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[156]  Jay K. Strosnider,et al.  ENHANCED APERIODIC RESPONSIVENESS IN HARD REAL-TIME ENVIRONMENTS. , 1987, RTSS 1987.

[157]  Niraj K. Jha,et al.  COHRA: hardware-software cosynthesis of hierarchical heterogeneous distributed embedded systems , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[158]  Luca Benini,et al.  Low-power task scheduling for multiple devices , 2000, CODES '00.

[159]  Mahmut T. Kandemir,et al.  An integer linear programming based approach for parallelizing applications in On-chip multiprocessors , 2002, DAC '02.

[160]  Taewhan Kim,et al.  Optimal voltage allocation techniques for dynamically variable voltage processors , 2003, DAC '03.

[161]  Diederik Verkest,et al.  Dynamic scheduling of concurrent tasks with cost performance trade-off , 2000, CASES '00.

[162]  R.W. Brodersen,et al.  A dynamic voltage scaled microprocessor system , 2000, IEEE Journal of Solid-State Circuits.

[163]  Anantha P. Chandrakasan,et al.  Low-power CMOS digital design , 1992 .

[164]  Andrew Wolfe,et al.  Instruction level power analysis , 1996 .

[165]  Anantha P. Chandrakasan,et al.  Minimizing power consumption in digital CMOS circuits , 1995, Proc. IEEE.