Routability-Driven Macro Placement with Embedded CNN-Based Prediction Model
暂无分享,去创建一个
Jiang Hu | Shao-Yun Fang | Yiran Chen | Haoxing Ren | Tao-Chun Yu | Zhiyao Xie | Guan-Qi Fang | Yu-Hung Huang
[1] Zhongdong Qi,et al. Accurate prediction of detailed routing congestion using supervised data learning , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).
[2] Yao-Wen Chang,et al. Corner sequence - a P-admissible floorplan representation with a worst case linear-time packing scheme , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[3] Yao-Wen Chang,et al. Constraint graph-based macro placement for modern mixed-size circuit designs , 2008, ICCAD 2008.
[4] Chris C. N. Chu,et al. FastPlace 3.0: A Fast Multilevel Quadratic Placement Algorithm with Placement Congestion Control , 2007, 2007 Asia and South Pacific Design Automation Conference.
[5] Zhongdong Qi,et al. An accurate detailed routing routability prediction model in placement , 2015, 2015 6th Asia Symposium on Quality Electronic Design (ASQED).
[6] Chris C. N. Chu,et al. Handling complexities in modern large-scale mixed-size placement , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[7] Andrew Zisserman,et al. Very Deep Convolutional Networks for Large-Scale Image Recognition , 2014, ICLR.
[8] Yao-Wen Chang,et al. NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs With Preplaced Blocks and Density Constraints , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[9] D. Chinnery,et al. ISPD 2015 Benchmarks with Fence Regions and Routing Blockages for Detailed-Routing-Driven Placement , 2015, ISPD.
[10] Igor L. Markov,et al. MAPLE: multilevel adaptive placement for mixed-size designs , 2012, ISPD '12.
[11] Andrew B. Kahng,et al. Routability Optimization for Industrial Designs at Sub-14nm Process Nodes Using Machine Learning , 2017, ISPD.
[12] Ismail Bustany,et al. A machine learning framework to identify detailed routing short violations from a placed netlist , 2018, DAC.
[13] Yao-Wen Chang,et al. MP-Trees: A Packing-Based Macro Placement Algorithm for Modern Mixed-Size Designs , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[14] Igor L. Markov,et al. Constraint-driven floorplan repair , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[15] Joseph R. Shinnerl,et al. mPL6: enhanced multilevel mixed-size placement , 2006, ISPD '06.
[16] Jarrod A. Roy,et al. Unification of partitioning, placement and floorplanning , 2004, ICCAD 2004.
[17] Andrew B. Kahng,et al. BEOL stack-aware routability prediction from placement using data mining techniques , 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).
[18] Abhishek Verma,et al. Compressed residual-VGG16 CNN model for big data places image recognition , 2018, 2018 IEEE 8th Annual Computing and Communication Workshop and Conference (CCWC).
[19] Jimmy Ba,et al. Adam: A Method for Stochastic Optimization , 2014, ICLR.
[20] Igor L. Markov,et al. ComPLx: A competitive primal-dual Lagrange optimization for global placement , 2012, DAC Design Automation Conference 2012.
[21] Richard Hans Robert Hahnloser,et al. correction: Digital selection and analogue amplification coexist in a cortex-inspired silicon circuit , 2000, Nature.
[22] Yao-Wen Chang,et al. Unified analytical global placement for large-scale mixed-size circuit designs , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[23] Yao-Wen Chang,et al. Circular-contour-based obstacle-aware macro placement , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
[24] Andrew A. Kennings,et al. Detailed routing violation prediction during placement using machine learning , 2017, 2017 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).
[25] Chung-Kuan Cheng,et al. ePlace-MS: Electrostatics-Based Placement for Mixed-Size Circuits , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[26] Yao-Wen Chang,et al. Routability-driven blockage-aware macro placement , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[27] Fei-Fei Li,et al. ImageNet: A large-scale hierarchical image database , 2009, 2009 IEEE Conference on Computer Vision and Pattern Recognition.
[28] Jason Cong,et al. A Robust Mixed-Size Legalization and Detailed Placement Algorithm , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.