Thermomechanical stress-aware management for 3D IC designs
暂无分享,去创建一个
[1] Yuan Xie,et al. Processor Design in 3D Die-Stacking Technologies , 2007, IEEE Micro.
[2] Jae-Seok Yang,et al. Stress-driven 3D-IC placement with TSV keep-out zone and regularity study , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[3] A. Jain,et al. Analytical and Numerical Modeling of the Thermal Performance of Three-Dimensional Integrated Circuits , 2010, IEEE Transactions on Components and Packaging Technologies.
[4] Jason Cong,et al. Thermal-aware cell and through-silicon-via co-placement for 3D ICs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[5] Anantha Chandrakasan,et al. Timing, energy, and thermal performance of three-dimensional integrated circuits , 2004, GLSVLSI '04.
[6] Yuan Xie,et al. Analysis and mitigation of lateral thermal blockage effect of through-silicon-via in 3D IC designs , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.
[7] Paul S. Ho,et al. Thermomechanical reliability of through-silicon vias in 3D interconnects , 2011, 2011 International Reliability Physics Symposium.
[8] Jian Xu,et al. Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.
[9] B. Vandevelde,et al. Thermal Cycle Reliability of 3D Chip Stacked Package Using Pb-free Solder Bumps: Parameter Study by FEM Analysis , 2006, EuroSime 2006 - 7th International Conference on Thermal, Mechanical and Multiphysics Simulation and Experiments in Micro-Electronics and Micro-Systems.
[10] Sheng Liu,et al. Thermal analysis of 3D packaging with a simplified thermal resistance network model and finite element simulation , 2010, 2010 11th International Conference on Electronic Packaging Technology & High Density Packaging.
[11] Gabriel H. Loh,et al. Thermal analysis of a 3D die-stacked high-performance microprocessor , 2006, GLSVLSI '06.
[12] Suk-kyu Ryu,et al. Impact of Near-Surface Thermal Stresses on Interfacial Reliability of Through-Silicon Vias for 3-D Interconnects , 2011, IEEE Transactions on Device and Materials Reliability.
[13] Narayanan Vijaykrishnan,et al. Interconnect and thermal-aware floorplanning for 3D microprocessors , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[14] Jason Cong,et al. Thermal-Aware 3D IC Placement Via Transformation , 2007, 2007 Asia and South Pacific Design Automation Conference.
[15] N. Kernevez,et al. Challenges for 3D IC integration: bonding quality and thermal management , 2007, 2007 IEEE International Interconnect Technology Conferencee.
[16] C. Selvanayagam,et al. Nonlinear Thermal Stress/Strain Analyses of Copper Filled TSV (Through Silicon Via) and Their Flip-Chip Microbumps , 2009, IEEE Transactions on Advanced Packaging.
[17] Yuan Xie,et al. Design space exploration for 3D architectures , 2006, JETC.
[18] Fengjuan Wang,et al. A thermal model for the top layer of 3D integrated circuits considering through silicon vias , 2011, 2011 9th IEEE International Conference on ASIC.
[19] Suk-kyu Ryu,et al. Thermo-mechanical reliability of 3-D ICs containing through silicon vias , 2009, 2009 59th Electronic Components and Technology Conference.
[20] Kevin Skadron,et al. HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[21] K. Vaidyanathan,et al. Nonlinear thermal stress/strain analyses of copper filled TSV (through silicon via) and their flip-chip microbumps , 2008, 2008 58th Electronic Components and Technology Conference.
[22] Chris Fallin,et al. Memory power management via dynamic voltage/frequency scaling , 2011, ICAC '11.