EUV photolithography: resist progress and challenges

With the rapid development of semiconductors, today's optical lithography is approaching its physical limits, and thus alternative patterning technology is urgently desired. Extreme ultra-violet (EUV) lithography, using a wavelength of 13.5 nm, is considered one of the most prominent candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements following the ITRS roadmap. Though polymer-based CAR (chemically amplified resist) is the current standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, our recent progress in metal oxide nanoparticle photoresist research will be discussed. Brief discussion of a number of important structure and property issues pertaining to key characteristics affecting resist performance is also included.

[1]  Ramakrishnan Ayothi,et al.  Novel EUV resist development for sub-14nm half pitch , 2015, Advanced Lithography.

[2]  Markos Trikeriotis,et al.  A new inorganic EUV resist with high-etch resistance , 2012, Advanced Lithography.

[3]  Christopher K. Ober,et al.  Increasing sensitivity of oxide nanoparticle photoresists , 2014, Advanced Lithography.

[4]  Warren Montgomery,et al.  Development of an inorganic nanoparticle photoresist for EUV, e-beam, and 193nm lithography , 2011, Advanced Lithography.

[5]  Evangelos Gogolides,et al.  Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations , 2004 .

[6]  Christopher K. Ober,et al.  Non-aqueous negative-tone development of inorganic metal oxide nanoparticle photoresists for next generation lithography , 2013, Advanced Lithography.

[7]  Markos Trikeriotis,et al.  Nanoparticle photoresists from HfO2 and ZrO2 for EUV patterning , 2012 .

[8]  Emmanuel P. Giannelis,et al.  Elucidating the patterning mechanism of zirconium-based hybrid photoresists , 2017 .

[9]  B. L. Henke,et al.  X-Ray Interactions: Photoabsorption, Scattering, Transmission, and Reflection at E = 50-30,000 eV, Z = 1-92 , 1993 .

[10]  Christopher K. Ober,et al.  Nanoparticle photoresist studies for EUV lithography , 2017, Advanced Lithography.

[11]  Christopher K. Ober,et al.  Recent progress in nanoparticle photoresists development for EUV lithography , 2016, SPIE Advanced Lithography.

[12]  Jing Jiang,et al.  Metal Oxide Nanoparticle Photoresists for EUV Patterning , 2014 .

[13]  Markos Trikeriotis,et al.  Development of an inorganic photoresist for DUV, EUV, and electron beam imaging , 2010, Advanced Lithography.