Through-Silicon Via Fault-Tolerant Clock Networks for 3-D ICs

Clock network synthesis is one of the most important and challenging problems in 3-D ICs. The clock signals have to be delivered by through-silicon vias (TSVs) to different tiers with minimum skew. While there are a few related works in literature, none consider the reliability of TSVs in a clock tree. Accordingly, the failure of any TSV in the clock tree yields a bad chip. The naive solution using double-TSV can alleviate the problem, but the significant area overhead renders it less practical for large designs. In this paper, we propose a novel TSV fault-tolerant unit (TFU) to provide tolerance against TSV failures. The TFU makes use of the existing 2-D redundant trees designed for prebond testing, and thus has minimum area overhead. In addition, the number of TSVs in a TFU is also adjustable to allow flexibility during clock network synthesis. Compared with the conventional double TSV technique, the 3-D clock network constructed by TFUs can achieve 58% area overhead reduction with similar yield rate on an industrial case. To the best of the authors' knowledge, this is the first work in the literature that considers the fault tolerance of a 3-D clock network. It can be easily integrated with any bottom-up clock network synthesis algorithm.

[1]  K. Soejima,et al.  A 3D Packaging Technology for 4 Gbit Stacked DRAM with 3 Gbps Data Transfer , 2006, 2006 International Electron Devices Meeting.

[2]  Taewhan Kim,et al.  Clock tree synthesis with pre-bond testability for 3D stacked IC Designs , 2010, Design Automation Conference.

[3]  Luca Benini,et al.  A low-overhead fault tolerance scheme for TSV-based 3D network on chip links , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[4]  Jian Xu,et al.  Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.

[5]  Young-Hyun Jun,et al.  8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology , 2009, IEEE Journal of Solid-State Circuits.

[6]  Masato Edahiro,et al.  An Efficient Zero-Skew Routing Algorithm , 1994, 31st Design Automation Conference.

[7]  Arvind Kumar,et al.  Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..

[8]  Luca Benini,et al.  Design Issues and Considerations for Low-Cost 3-D TSV IC Technology , 2010, IEEE Journal of Solid-State Circuits.

[9]  Eby G. Friedman,et al.  Clock distribution networks for 3-D ictegrated Circuits , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[10]  Hsien-Hsin S. Lee,et al.  Pre-bond testable low-power clock tree design for 3D stacked ICs , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[11]  Sung Kyu Lim,et al.  TSV Stress-Aware Full-Chip Mechanical Reliability Analysis and Optimization for 3-D IC , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  TingTing Hwang,et al.  TSV Redundancy: Architecture and Design Issues in 3-D IC , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[13]  Shih-Chieh Chang,et al.  LP-based multi-mode multi-corner clock skew optimization , 2010, Proceedings of 2010 International Symposium on VLSI Design, Automation and Test.

[14]  Xin Zhao,et al.  Low-Power and Reliable Clock Network Design for Through-Silicon Via (TSV) Based 3D ICs , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[15]  Kazumasa Tanida,et al.  Chip Scale Camera Module (CSCM) using Through-Silicon-Via (TSV) , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[16]  Wayne P. Burleson,et al.  Low-power clock distribution in a multilayer core 3d microprocessor , 2008, GLSVLSI '08.

[17]  Andrew B. Kahng,et al.  On the skew-bounded minimum-buffer routing tree problem , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[18]  Yiyu Shi,et al.  TSV fault-tolerant mechanisms with application to 3D clock networks , 2011, 2011 International SoC Design Conference.

[19]  Xin Zhao,et al.  Buffered clock tree synthesis for 3D ICs under thermal variations , 2008, 2008 Asia and South Pacific Design Automation Conference.

[20]  Hsien-Hsin S. Lee,et al.  A scanisland based design enabling prebond testability in die-stacked microprocessors , 2007, 2007 IEEE International Test Conference.

[21]  Joungho Kim,et al.  High-frequency measurements of TSV failures , 2012, 2012 IEEE 62nd Electronic Components and Technology Conference.

[22]  Shih-Chieh Chang,et al.  Clock skew optimization considering complicated power modes , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[23]  TingTing Hwang,et al.  TSV redundancy: Architecture and design issues in 3D IC , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[24]  Greg Link,et al.  Thermally robust clocking schemes for 3D integrated circuits , 2007 .

[25]  Yiyu Shi,et al.  Fault-tolerant 3D clock network , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[26]  Hsien-Hsin S. Lee,et al.  Low-Power Clock Tree Design for Pre-Bond Testing of 3-D Stacked ICs , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Jason Cong,et al.  Matching-based methods for high-performance clock routing , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[28]  C. Alpert,et al.  Fast algorithms for slew constrained minimum cost buffering , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[29]  Yervant Zorian,et al.  Testing 3D chips containing through-silicon vias , 2009, 2009 International Test Conference.

[30]  Fangming Ye,et al.  TSV defects and TSV-induced circuit failures: The third dimension in test and design-for-test , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

[31]  Yiyu Shi,et al.  The Effects of Substrate Doping Density on The Electrical Performance of Through-Silicon-Via ( TSV ) , 2011 .