Mid-infrared graphene-insulator-graphene plasmonic modulator

Optical interconnects have been proposed to be the next generation interconnect solution to overcome the impending interconnect bottleneck. Large optical devices have hindered integration of electrical and optical components. Plasmonics have enabled nanophotonic components with sub-micron scale optical devices with similar size range as electronics and they promise to bridge the size gap between optical and electrical components. Surmounting research is suggesting that the electronics industry is starting to accept more variety materials in the fabrication process, the most important of which is graphene. The modulator is composed of a thin layer of silicon nitride – a few nm thick – sandwiched between two graphene sheets that are both electrically connected to the signal. Thin Al2O3 layers separate the graphene sheets from the ground electrodes on top and bottom. The electric field generated by applying a maximum of 5V on the graphene sheets changes the fermi level of graphene to switch between a highly lossy metal-like material and a dielectric material. Operating in the mid infrared regime, around 5 μm wavelength, when the Fermi level is located in the band gap, optical absorption is high. When the Fermi level is located away from the bandgap, absorption is minimized. Simulations show that the modulator exhibits over 7 dB / μm extinction ratio and less than 0.1 dB / μm propagation loss. By designing for 3 dB extinction ratio and less than 0.1 dB propagation loss, the footprint of the modulator is only 80 nm x 400 nm for feasible integration in future electronic chips without competing for space.

[1]  G. Oehrlein,et al.  Highly selective etching of silicon nitride over silicon and silicon dioxide , 1999 .

[2]  Hongyi Wu,et al.  I(Re)2-WiNoC: Exploring scalable wireless on-chip micronetworks for heterogeneous embedded many-core SoCs , 2015 .

[3]  Mohamed A. Swillam,et al.  Long-range all-dielectric plasmonic waveguide in mid-infrared , 2016 .

[4]  Graham T. Reed,et al.  Optical beamsteering using integrated optical modulators , 1997 .

[5]  Jong-Hyun Ahn,et al.  High-quality Si3N4 circuits as a platform for graphene-based nanophotonic devices. , 2013, Optics express.

[6]  Mohamed A. Swillam,et al.  Integrated coupled multi-stage plasmonic resonator for on-chip sensing , 2014, Photonics Europe.

[7]  M. Yoshimaru,et al.  Silicon nitride thin-film deposition by LPCVD with in situ HF vapor cleaning and its application to stacked DRAM capacitor fabrication , 1994 .

[8]  M. Morse,et al.  High speed silicon Mach-Zehnder modulator. , 2005, Optics express.

[9]  Liu Hongwei,et al.  Integrated silicon photonic interconnect with surface-normal optical interface , 2016 .

[10]  Yehea I. Ismail,et al.  Serial-link bus: a low-power on-chip bus architecture , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[11]  G. V. Treyz,et al.  Silicon optical modulators at 1.3- mu m based on free-carrier absorption , 1991, IEEE Electron Device Letters.

[12]  Mohamed A. Swillam,et al.  Hybrid plasmonic electro-optical modulator , 2016 .

[13]  Yehea I. Ismail,et al.  A 24 Gbps SerDes transceiver for on-chip networks using a new half-data-rate self-timed 3-level signaling scheme , 2015, 5th International Conference on Energy Aware Computing Systems & Applications.

[14]  Jens H. Schmid,et al.  Roadmap on silicon photonics , 2016 .

[15]  Michal Lipson,et al.  Graphene electro-optic modulator with 30 GHz bandwidth , 2015, Nature Photonics.

[16]  L. Falkovsky,et al.  Optical properties of graphene , 2008, 0806.3663.

[17]  Mohamed A. Swillam,et al.  Semiconductor plasmonic gas sensor using on-chip infrared spectroscopy , 2017 .

[18]  M. Paniccia,et al.  A high-speed silicon optical modulator based on a metal–oxide–semiconductor capacitor , 2004, Nature.

[19]  James D. Warnock Circuit design challenges at the 14nm technology node , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[20]  Hui Chen,et al.  Predictions of CMOS compatible on-chip optical interconnect , 2005, International Workshop on System-Level Interconnect Prediction.

[21]  Yehea Ismail,et al.  Optimum repeater insertion based on a CMOS delay model for on-chip RLC interconnect , 1998, Proceedings Eleventh Annual IEEE International ASIC Conference (Cat. No.98TH8372).

[22]  Mohamed A. Swillam,et al.  Electro-optic modulators based on hybrid plasmonic micro-ring-disk resonators with femtojoule switching energy , 2016 .

[23]  Karthik Ramani,et al.  Leveraging Wire Properties at the Microarchitecture Level , 2006, IEEE Micro.

[24]  Yehea I. Ismail,et al.  Effect of relative delay on the dissipated energy in coupled interconnects , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

[25]  Mohamed A. Swillam,et al.  Electro-Optic Plasmonic Modulator With Direct Coupling to Silicon Waveguides , 2017, IEEE Photonics Journal.

[26]  Chang-long Cai,et al.  Study on the Performance of PECVD Silicon Nitride Thin Films , 2013 .

[27]  Eby G. Friedman,et al.  On-chip optical interconnect roadmap: challenges and critical directions , 2005 .

[28]  Yehea I. Ismail,et al.  Formal derivation of optimal active shielding for low-power on-chip buses , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[29]  Ke Li,et al.  Recent breakthroughs in carrier depletion based silicon optical modulators , 2014 .

[30]  Mohamed A. Swillam,et al.  High Sensitivity Hybrid Plasmonic Rectangular Resonator for Gas Sensing Applications , 2015 .

[31]  Mohamed A Swillam,et al.  Analysis and applications of 3D rectangular metallic waveguides. , 2010, Optics express.

[32]  M. Horowitz,et al.  Efficient on-chip global interconnects , 2003, 2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408).

[33]  Mohamed A. Swillam,et al.  Hybrid silicon plasmonic organic directional coupler-based modulator , 2016 .

[34]  Di Liang,et al.  Silicon photonic integrated devices for optical interconnects , 2013 .

[35]  Jason Cong,et al.  CMP network-on-chip overlaid with multi-band RF-interconnect , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[36]  Chong Zhang,et al.  Recent advances in silicon photonic integrated circuits , 2016, SPIE OPTO.

[37]  Cary Gunn,et al.  CMOS Photonics for High-Speed Interconnects , 2006, IEEE Micro.

[38]  Yehea I. Ismail,et al.  Time-Borrowing Multi-Cycle On-Chip Interconnects for Delay Variation Tolerance , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

[39]  N Abadía,et al.  Low-Power consumption Franz-Keldysh effect plasmonic modulator. , 2014, Optics express.

[40]  Mohamed A Swillam,et al.  Hybrid orthogonal junctions: wideband plasmonic slot-silicon waveguide couplers. , 2010, Optics express.

[41]  M. A. Swillam,et al.  Feedback Effects in Plasmonic Slot Waveguides Examined Using a Closed Form Model , 2012, IEEE Photonics Technology Letters.

[42]  Mohamed A. Swillam,et al.  Silicon-on-sapphire (SOS) waveguide modal analysis for mid-infrared applications , 2017 .

[43]  Yehea I. Ismail,et al.  Repeater insertion in RLC lines for minimum propagation delay , 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).

[44]  Yehea Ismail,et al.  A new signaling technique for a low power on-chip SerDes transceivers , 2010, 2010 International Conference on Energy Aware Computing.

[45]  John E. Bowers,et al.  2.56 Tbps (8 × 8 × 40 gbps) fully-integrated silicon photonic interconnection circuit , 2016, 2016 Conference on Lasers and Electro-Optics (CLEO).

[46]  Yehea I. Ismail,et al.  A 16Gbps low power self-timed SerDes transceiver for multi-core communication , 2012, 2012 IEEE International Symposium on Circuits and Systems.

[47]  Terrence Mak,et al.  A Survey of Emerging Interconnects for On-Chip Efficient Multicast and Broadcast in Many-Cores , 2016, IEEE Circuits and Systems Magazine.

[48]  D. Miller,et al.  Optical interconnects to electronic chips. , 2010, Applied optics.

[49]  J. Lexau,et al.  Potentials of Group IV Photonics Interconnects for "Red-shift" Computing Applications , 2007, 2007 4th IEEE International Conference on Group IV Photonics.

[50]  Mohamed A. Swillam,et al.  Design optimization and fabrication of plasmonic nano sensor , 2014, Photonics West - Optoelectronic Materials and Devices.

[51]  Ken Mai,et al.  The future of wires , 2001, Proc. IEEE.

[52]  Xiang Zhang,et al.  A graphene-based broadband optical modulator , 2011, Nature.

[53]  Kwang S. Kim,et al.  Tuning the graphene work function by electric field effect. , 2009, Nano letters.

[54]  Uri C. Weiser,et al.  Interconnect-power dissipation in a microprocessor , 2004, SLIP '04.

[55]  Eby G. Friedman,et al.  Sensitivity of interconnect delay to on-chip inductance , 2000, 2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353).

[56]  D.A.B. Miller,et al.  Rationale and challenges for optical interconnects to electronic chips , 2000, Proceedings of the IEEE.

[57]  Vladimir Stojanovic,et al.  Silicon photonics for compact, energy-efficient interconnects [Invited] , 2007, Journal of Optical Networking.

[58]  Yi Zhang,et al.  Silicon Photonics: The Next Fabless Semiconductor Industry , 2013, IEEE Solid-State Circuits Magazine.

[59]  William J. Dally,et al.  Research Challenges for On-Chip Interconnection Networks , 2007, IEEE Micro.

[60]  Mohamed H. El Sherif,et al.  Polarization-controlled excitation of multilevel plasmonic nano-circuits using single silicon nanowire. , 2012, Optics express.

[61]  Mohamed A. Swillam,et al.  Mid-Infrared Plasmonic Power Splitters , 2016, IEEE Photonics Technology Letters.

[62]  S. M. Sherif,et al.  Silicon nanowires organic hybrid modulator based on directional coupler , 2016, 2016 Photonics North (PN).

[63]  ChenGuoqing,et al.  Predictions of CMOS compatible on-chip optical interconnect , 2007 .

[64]  James S. Wilkinson,et al.  Group IV Photonics for the Mid-Infrared , 2015 .

[65]  F. Gardes,et al.  A sub-micron depletion-type photonic modulator in Silicon On Insulator. , 2005, Optics express.

[66]  Mohamed A. Swillam,et al.  Silicon Waveguides at the Mid-Infrared , 2015, Journal of Lightwave Technology.

[67]  Yongqiang Hei,et al.  Multiple-Input Multiple-Output Enabled Large Bandwidth Density On-Chip Optical Interconnect , 2016, Journal of Lightwave Technology.

[68]  Hua Xu,et al.  Effect of graphene Fermi level on the Raman scattering intensity of molecules on graphene. , 2011, ACS nano.

[69]  Mohamed A. Swillam,et al.  Integrated Metal-Insulator-Metal Plasmonic Nano Resonator: an Analytical Approach , 2013 .

[70]  Qiang Li,et al.  Optical properties of SiO2/Si3N4 films prepared on sapphire , 2006, International Symposium on Advanced Optical Manufacturing and Testing Technologies (AOMATT).

[71]  F.X. Kaertner,et al.  High-speed silicon electro-optical modulator that can be operated in carrier depletion or carrier injection mode , 2008, 2008 Conference on Lasers and Electro-Optics and 2008 Conference on Quantum Electronics and Laser Science.

[72]  Mohamed A. Swillam,et al.  Hybrid electro-optic plasmonic modulators based on directional coupler switches , 2016 .

[73]  Yehea I. Ismail,et al.  Reducing the data switching activity on serial link buses , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[74]  Mohamed A. Swillam,et al.  Modeling and analysis of scattering from silicon nanoparticles with high excess carriers for MIR spectroscopy , 2017, OPTO.

[75]  M. Horowitz,et al.  Managing wire scaling: a circuit perspective , 2003, Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695).

[76]  Shiyang Zhu,et al.  Design of an ultra-compact electro-absorption modulator comprised of a deposited TiN/HfO₂/ITO/Cu stack for CMOS backend integration. , 2014, Optics express.

[77]  Mohamed A. Swillam,et al.  Characteristics and applications of rectangular waveguide in sensing, slow light, and negative refraction , 2011, OPTO.

[78]  Jurgen Michel,et al.  Waveguide-integrated, ultralow-energy GeSi electro-absorption modulators , 2008 .

[79]  F. Kschischang,et al.  Roadmap of optical communications , 2015, 1507.05157.

[80]  Yehea I. Ismail,et al.  A 12Gbps all digital low power SerDes transceiver for on-chip networking , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

[81]  Eby G. Friedman,et al.  Dynamic and short-circuit power of CMOS gates driving lossless transmission lines , 1999 .

[82]  D. Miller,et al.  Device Requirements for Optical Interconnects to CMOS Silicon Chips , 2010 .

[83]  Mohamed A. Swillam,et al.  Integrated optical sensor using hybrid plasmonics for lab on chip applications , 2016 .

[84]  C. E. Png,et al.  Optical phase modulators for MHz and GHz modulation in silicon-on-insulator (SOI) , 2004, Journal of Lightwave Technology.

[85]  Yurii A. Vlasov,et al.  Technologies for exascale systems , 2011, IBM J. Res. Dev..

[86]  Dean M. Tullsen,et al.  Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

[87]  R. Soref,et al.  Silicon double‐injection electro‐optic modulator with junction gate control , 1988 .

[88]  Yehea I. Ismail,et al.  Repeater insertion in tree structured inductive interconnect , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[89]  Yu Zhang,et al.  Silicon and hybrid silicon photonic devices for intra-datacenter applications: state of the art and perspectives [Invited] , 2015 .

[90]  Raymond G. Beausoleil,et al.  Nanoelectronic and Nanophotonic Interconnect , 2008, Proceedings of the IEEE.

[91]  Mohamed A. Swillam,et al.  Low power hybrid plasmonic microring-on-disks electro-optical modulators , 2017 .

[92]  J. S. Gomez-Diaz,et al.  Graphene-based plasmonic switches at near infrared frequencies. , 2013, Optics express.

[93]  Mohamed A. Swillam,et al.  Metal-less silicon plasmonic mid-infrared gas sensor , 2016 .

[94]  S. Maier Plasmonics: Fundamentals and Applications , 2007 .

[95]  R. Soref,et al.  The Past, Present, and Future of Silicon Photonics , 2006, IEEE Journal of Selected Topics in Quantum Electronics.